Load Map for File larknewslave, Input = LARKNEWSLAVE.REL, Output = LarkNewSlave.OBJ, Module Name = LarkNewSlave.OBJ ??SEG Ident = 1 [SEGMENT] Class = DEFAULT_CLASS, PARA PUBLIC, Address = [0]0, Size = 0 SPACE Ident = 2 [SEGMENT] Class = DEFAULT_CLASS, PARA PRIVATE, Address = [0]0, Size = 0 C_GROUP Ident = 3 [GROUP] :C_DATA, :C_CODE, :SPACE, C_CODE Ident = 4 [SEGMENT] Class = DEFAULT_CLASS, PARA PRIVATE, Address = [0]0, Base = E000, Size = 8CA C_DATA Ident = 5 [SEGMENT] Class = DEFAULT_CLASS, PARA PRIVATE, Address = [0]0, Base = 2700, Size = 38 SOUT1 Ident = 6 Variable Byte Segment = 5:C_DATA, Offset = 2700(0), Local SO1L3 Ident = 7 Variable Byte Segment = 5:C_DATA, Offset = 2700(0), Local SO1L4 Ident = 8 Variable Byte Segment = 5:C_DATA, Offset = 2701(1), Local SO1L5 Ident = 9 Variable Byte Segment = 5:C_DATA, Offset = 2702(2), Local SO1L6 Ident = 10 Variable Byte Segment = 5:C_DATA, Offset = 2703(3), Local SO1L7 Ident = 11 Variable Byte Segment = 5:C_DATA, Offset = 2704(4), Local SO1L0 Ident = 12 Variable Byte Segment = 5:C_DATA, Offset = 2705(5), Local SO1L1 Ident = 13 Variable Byte Segment = 5:C_DATA, Offset = 2706(6), Local SO1L2 Ident = 14 Variable Byte Segment = 5:C_DATA, Offset = 2707(7), Local SOUT2 Ident = 15 Variable Byte Segment = 5:C_DATA, Offset = 2708(8), Local SO2L4 Ident = 16 Variable Byte Segment = 5:C_DATA, Offset = 2708(8), Local SO2L5 Ident = 17 Variable Byte Segment = 5:C_DATA, Offset = 2709(9), Local SO2L6 Ident = 18 Variable Byte Segment = 5:C_DATA, Offset = 270A(A), Local SO2L7 Ident = 19 Variable Byte Segment = 5:C_DATA, Offset = 270B(B), Local SO2L0 Ident = 20 Variable Byte Segment = 5:C_DATA, Offset = 270C(C), Local SO2L1 Ident = 21 Variable Byte Segment = 5:C_DATA, Offset = 270D(D), Local SO2L2 Ident = 22 Variable Byte Segment = 5:C_DATA, Offset = 270E(E), Local SO2L3 Ident = 23 Variable Byte Segment = 5:C_DATA, Offset = 270F(F), Local SOUT3 Ident = 24 Variable Byte Segment = 5:C_DATA, Offset = 2710(10), Local SO3L2 Ident = 25 Variable Byte Segment = 5:C_DATA, Offset = 2710(10), Local SO3L3 Ident = 26 Variable Byte Segment = 5:C_DATA, Offset = 2711(11), Local SO3L4 Ident = 27 Variable Byte Segment = 5:C_DATA, Offset = 2712(12), Local SO3L5 Ident = 28 Variable Byte Segment = 5:C_DATA, Offset = 2713(13), Local SO3L6 Ident = 29 Variable Byte Segment = 5:C_DATA, Offset = 2714(14), Local SO3L7 Ident = 30 Variable Byte Segment = 5:C_DATA, Offset = 2715(15), Local SO3L0 Ident = 31 Variable Byte Segment = 5:C_DATA, Offset = 2716(16), Local SO3L1 Ident = 32 Variable Byte Segment = 5:C_DATA, Offset = 2717(17), Local SIN2 Ident = 33 Variable Byte Segment = 5:C_DATA, Offset = 2718(18), Local SI2L2 Ident = 34 Variable Byte Segment = 5:C_DATA, Offset = 2718(18), Local SI2L3 Ident = 35 Variable Byte Segment = 5:C_DATA, Offset = 2719(19), Local SI2L4 Ident = 36 Variable Byte Segment = 5:C_DATA, Offset = 271A(1A), Local SI2L5 Ident = 37 Variable Byte Segment = 5:C_DATA, Offset = 271B(1B), Local SI2L6 Ident = 38 Variable Byte Segment = 5:C_DATA, Offset = 271C(1C), Local SI2L7 Ident = 39 Variable Byte Segment = 5:C_DATA, Offset = 271D(1D), Local SI2L0 Ident = 40 Variable Byte Segment = 5:C_DATA, Offset = 271E(1E), Local SI2L1 Ident = 41 Variable Byte Segment = 5:C_DATA, Offset = 271F(1F), Local SIN1 Ident = 42 Variable Byte Segment = 5:C_DATA, Offset = 2720(20), Local SI1L1 Ident = 43 Variable Byte Segment = 5:C_DATA, Offset = 2720(20), Local SI1L2 Ident = 44 Variable Byte Segment = 5:C_DATA, Offset = 2721(21), Local SI1L3 Ident = 45 Variable Byte Segment = 5:C_DATA, Offset = 2722(22), Local SI1L4 Ident = 46 Variable Byte Segment = 5:C_DATA, Offset = 2723(23), Local SI1L5 Ident = 47 Variable Byte Segment = 5:C_DATA, Offset = 2724(24), Local SI1L6 Ident = 48 Variable Byte Segment = 5:C_DATA, Offset = 2725(25), Local SI1L7 Ident = 49 Variable Byte Segment = 5:C_DATA, Offset = 2726(26), Local SI1L0 Ident = 50 Variable Byte Segment = 5:C_DATA, Offset = 2727(27), Local MODELOW Ident = 51 Variable Byte Segment = 5:C_DATA, Offset = 2728(28), Local MODEBITS Ident = 52 Variable Word Segment = 5:C_DATA, Offset = 2728(28), Local SIGAIN Ident = 53 Variable Word Segment = 5:C_DATA, Offset = 272A(2A), Local SOGAIN Ident = 54 Variable Word Segment = 5:C_DATA, Offset = 272C(2C), Local SI2GAIN Ident = 55 Variable Word Segment = 5:C_DATA, Offset = 272E(2E), Local SI1GAIN Ident = 56 Variable Word Segment = 5:C_DATA, Offset = 2730(30), Local SILVAL1 Ident = 57 Variable Word Segment = 5:C_DATA, Offset = 2732(32), Local SILVAL2 Ident = 58 Variable Word Segment = 5:C_DATA, Offset = 2734(34), Local SILLOCHI Ident = 59 Variable Word Segment = 5:C_DATA, Offset = 2736(36), Local SILLOCHI1 Ident = 60 Variable Byte Segment = 5:C_DATA, Offset = 2736(36), Local SILLOCHI2 Ident = 61 Variable Byte Segment = 5:C_DATA, Offset = 2737(37), Local HALTSLAVE Ident = 62 Label Near Segment = 4:C_CODE, Offset = E058(58), Local SLAVENMI Ident = 63 Label Near Segment = 4:C_CODE, Offset = E00C(C), Local TESTO2I2 Ident = 64 Label Near Segment = 4:C_CODE, Offset = E027(27), Local LOOPO3I1 Ident = 65 Label Near Segment = 4:C_CODE, Offset = E076(76), Local TESTBLT Ident = 66 Label Near Segment = 4:C_CODE, Offset = E02F(2F), Local LOOPO2I2 Ident = 67 Label Near Segment = 4:C_CODE, Offset = E515(515), Local TESTJMP Ident = 68 Label Near Segment = 4:C_CODE, Offset = E037(37), Local LOOPBLT Ident = 69 Label Near Segment = 4:C_CODE, Offset = E05B(5B), Local LOADCODE Ident = 70 Label Near Segment = 4:C_CODE, Offset = E03F(3F), Local LOOPJMP Ident = 71 Label Near Segment = 4:C_CODE, Offset = E06E(6E), Local LENOK Ident = 72 Label Near Segment = 4:C_CODE, Offset = E04E(4E), Local MIDPKTO3I1 Ident = 73 Label Near Segment = 4:C_CODE, Offset = E092(92), Local STARTL0 Ident = 74 Label Near Segment = 4:C_CODE, Offset = E0B6(B6), Local ENDPKTO3I1 Ident = 75 Label Near Segment = 4:C_CODE, Offset = E0A6(A6), Local O3DL0 Ident = 76 Label Near Segment = 4:C_CODE, Offset = E0CD(CD), Local O3ML0 Ident = 77 Label Near Segment = 4:C_CODE, Offset = E0F8(F8), Local POSL0 Ident = 78 Label Near Segment = 4:C_CODE, Offset = E11F(11F), Local UFLOWL0 Ident = 79 Label Near Segment = 4:C_CODE, Offset = E118(118), Local ENDL0 Ident = 80 Label Near Segment = 4:C_CODE, Offset = E133(133), Local OFLOWL0 Ident = 81 Label Near Segment = 4:C_CODE, Offset = E12F(12F), Local O3DL1 Ident = 82 Label Near Segment = 4:C_CODE, Offset = E158(158), Local O3ML1 Ident = 83 Label Near Segment = 4:C_CODE, Offset = E183(183), Local POSL1 Ident = 84 Label Near Segment = 4:C_CODE, Offset = E1AA(1AA), Local UFLOWL1 Ident = 85 Label Near Segment = 4:C_CODE, Offset = E1A3(1A3), Local ENDL1 Ident = 86 Label Near Segment = 4:C_CODE, Offset = E1BE(1BE), Local OFLOWL1 Ident = 87 Label Near Segment = 4:C_CODE, Offset = E1BA(1BA), Local O3DL2 Ident = 88 Label Near Segment = 4:C_CODE, Offset = E1E3(1E3), Local O3ML2 Ident = 89 Label Near Segment = 4:C_CODE, Offset = E20E(20E), Local POSL2 Ident = 90 Label Near Segment = 4:C_CODE, Offset = E235(235), Local UFLOWL2 Ident = 91 Label Near Segment = 4:C_CODE, Offset = E22E(22E), Local ENDL2 Ident = 92 Label Near Segment = 4:C_CODE, Offset = E249(249), Local OFLOWL2 Ident = 93 Label Near Segment = 4:C_CODE, Offset = E245(245), Local O3DL3 Ident = 94 Label Near Segment = 4:C_CODE, Offset = E26E(26E), Local O3ML3 Ident = 95 Label Near Segment = 4:C_CODE, Offset = E299(299), Local POSL3 Ident = 96 Label Near Segment = 4:C_CODE, Offset = E2C0(2C0), Local UFLOWL3 Ident = 97 Label Near Segment = 4:C_CODE, Offset = E2B9(2B9), Local ENDL3 Ident = 98 Label Near Segment = 4:C_CODE, Offset = E2D4(2D4), Local OFLOWL3 Ident = 99 Label Near Segment = 4:C_CODE, Offset = E2D0(2D0), Local O3DL4 Ident = 100 Label Near Segment = 4:C_CODE, Offset = E2F9(2F9), Local O3ML4 Ident = 101 Label Near Segment = 4:C_CODE, Offset = E324(324), Local POSL4 Ident = 102 Label Near Segment = 4:C_CODE, Offset = E34B(34B), Local UFLOWL4 Ident = 103 Label Near Segment = 4:C_CODE, Offset = E344(344), Local ENDL4 Ident = 104 Label Near Segment = 4:C_CODE, Offset = E35F(35F), Local OFLOWL4 Ident = 105 Label Near Segment = 4:C_CODE, Offset = E35B(35B), Local O3DL5 Ident = 106 Label Near Segment = 4:C_CODE, Offset = E384(384), Local O3ML5 Ident = 107 Label Near Segment = 4:C_CODE, Offset = E3AF(3AF), Local POSL5 Ident = 108 Label Near Segment = 4:C_CODE, Offset = E3D6(3D6), Local UFLOWL5 Ident = 109 Label Near Segment = 4:C_CODE, Offset = E3CF(3CF), Local ENDL5 Ident = 110 Label Near Segment = 4:C_CODE, Offset = E3EA(3EA), Local OFLOWL5 Ident = 111 Label Near Segment = 4:C_CODE, Offset = E3E6(3E6), Local O3DL6 Ident = 112 Label Near Segment = 4:C_CODE, Offset = E40E(40E), Local O3ML6 Ident = 113 Label Near Segment = 4:C_CODE, Offset = E439(439), Local POSL6 Ident = 114 Label Near Segment = 4:C_CODE, Offset = E460(460), Local UFLOWL6 Ident = 115 Label Near Segment = 4:C_CODE, Offset = E459(459), Local ENDL6 Ident = 116 Label Near Segment = 4:C_CODE, Offset = E474(474), Local OFLOWL6 Ident = 117 Label Near Segment = 4:C_CODE, Offset = E470(470), Local O3DL7 Ident = 118 Label Near Segment = 4:C_CODE, Offset = E498(498), Local O3ML7 Ident = 119 Label Near Segment = 4:C_CODE, Offset = E4C3(4C3), Local POSL7 Ident = 120 Label Near Segment = 4:C_CODE, Offset = E4EA(4EA), Local UFLOWL7 Ident = 121 Label Near Segment = 4:C_CODE, Offset = E4E3(4E3), Local ENDL7 Ident = 122 Label Near Segment = 4:C_CODE, Offset = E4FE(4FE), Local OFLOWL7 Ident = 123 Label Near Segment = 4:C_CODE, Offset = E4FA(4FA), Local ALLDONEO3I1 Ident = 124 Label Near Segment = 4:C_CODE, Offset = E510(510), Local HALFDONEO3I1 Ident = 125 Label Near Segment = 4:C_CODE, Offset = E512(512), Local MIDPKTO2I2 Ident = 126 Label Near Segment = 4:C_CODE, Offset = E531(531), Local STARTL0O2I2 Ident = 127 Label Near Segment = 4:C_CODE, Offset = E561(561), Local ENDPKTO2I2 Ident = 128 Label Near Segment = 4:C_CODE, Offset = E54A(54A), Local NEG1L0 Ident = 129 Label Near Segment = 4:C_CODE, Offset = E56D(56D), Local IN1L0 Ident = 130 Label Near Segment = 4:C_CODE, Offset = E56F(56F), Local MIDL0 Ident = 131 Label Near Segment = 4:C_CODE, Offset = E58E(58E), Local NEG2L0 Ident = 132 Label Near Segment = 4:C_CODE, Offset = E59C(59C), Local IN2L0 Ident = 133 Label Near Segment = 4:C_CODE, Offset = E59E(59E), Local L2ENDL0 Ident = 134 Label Near Segment = 4:C_CODE, Offset = E5BA(5BA), Local STARTL1 Ident = 135 Label Near Segment = 4:C_CODE, Offset = E5C8(5C8), Local NEG1L1 Ident = 136 Label Near Segment = 4:C_CODE, Offset = E5D4(5D4), Local IN1L1 Ident = 137 Label Near Segment = 4:C_CODE, Offset = E5D6(5D6), Local MIDL1 Ident = 138 Label Near Segment = 4:C_CODE, Offset = E5F5(5F5), Local NEG2L1 Ident = 139 Label Near Segment = 4:C_CODE, Offset = E603(603), Local IN2L1 Ident = 140 Label Near Segment = 4:C_CODE, Offset = E605(605), Local L2ENDL1 Ident = 141 Label Near Segment = 4:C_CODE, Offset = E621(621), Local STARTL2 Ident = 142 Label Near Segment = 4:C_CODE, Offset = E62F(62F), Local NEG1L2 Ident = 143 Label Near Segment = 4:C_CODE, Offset = E63B(63B), Local IN1L2 Ident = 144 Label Near Segment = 4:C_CODE, Offset = E63D(63D), Local MIDL2 Ident = 145 Label Near Segment = 4:C_CODE, Offset = E65C(65C), Local NEG2L2 Ident = 146 Label Near Segment = 4:C_CODE, Offset = E66A(66A), Local IN2L2 Ident = 147 Label Near Segment = 4:C_CODE, Offset = E66C(66C), Local L2ENDL2 Ident = 148 Label Near Segment = 4:C_CODE, Offset = E688(688), Local MODEL3 Ident = 149 Label Near Segment = 4:C_CODE, Offset = E695(695), Local SHRL3 Ident = 150 Label Near Segment = 4:C_CODE, Offset = E698(698), Local STARTL3 Ident = 151 Label Near Segment = 4:C_CODE, Offset = E6A3(6A3), Local NEG1L3 Ident = 152 Label Near Segment = 4:C_CODE, Offset = E6AF(6AF), Local IN1L3 Ident = 153 Label Near Segment = 4:C_CODE, Offset = E6B1(6B1), Local MIDL3 Ident = 154 Label Near Segment = 4:C_CODE, Offset = E6D0(6D0), Local NEG2L3 Ident = 155 Label Near Segment = 4:C_CODE, Offset = E6DE(6DE), Local IN2L3 Ident = 156 Label Near Segment = 4:C_CODE, Offset = E6E0(6E0), Local L2ENDL3 Ident = 157 Label Near Segment = 4:C_CODE, Offset = E6FC(6FC), Local MODEL4 Ident = 158 Label Near Segment = 4:C_CODE, Offset = E709(709), Local SHRL4 Ident = 159 Label Near Segment = 4:C_CODE, Offset = E70C(70C), Local STARTL4 Ident = 160 Label Near Segment = 4:C_CODE, Offset = E717(717), Local NEG1L4 Ident = 161 Label Near Segment = 4:C_CODE, Offset = E723(723), Local IN1L4 Ident = 162 Label Near Segment = 4:C_CODE, Offset = E725(725), Local MIDL4 Ident = 163 Label Near Segment = 4:C_CODE, Offset = E744(744), Local NEG2L4 Ident = 164 Label Near Segment = 4:C_CODE, Offset = E752(752), Local IN2L4 Ident = 165 Label Near Segment = 4:C_CODE, Offset = E754(754), Local L2ENDL4 Ident = 166 Label Near Segment = 4:C_CODE, Offset = E770(770), Local STARTL5 Ident = 167 Label Near Segment = 4:C_CODE, Offset = E77E(77E), Local NEG1L5 Ident = 168 Label Near Segment = 4:C_CODE, Offset = E78A(78A), Local IN1L5 Ident = 169 Label Near Segment = 4:C_CODE, Offset = E78C(78C), Local MIDL5 Ident = 170 Label Near Segment = 4:C_CODE, Offset = E7AB(7AB), Local NEG2L5 Ident = 171 Label Near Segment = 4:C_CODE, Offset = E7B9(7B9), Local IN2L5 Ident = 172 Label Near Segment = 4:C_CODE, Offset = E7BB(7BB), Local L2ENDL5 Ident = 173 Label Near Segment = 4:C_CODE, Offset = E7D7(7D7), Local STARTL6 Ident = 174 Label Near Segment = 4:C_CODE, Offset = E7E4(7E4), Local NEG1L6 Ident = 175 Label Near Segment = 4:C_CODE, Offset = E7F0(7F0), Local IN1L6 Ident = 176 Label Near Segment = 4:C_CODE, Offset = E7F2(7F2), Local MIDL6 Ident = 177 Label Near Segment = 4:C_CODE, Offset = E811(811), Local NEG2L6 Ident = 178 Label Near Segment = 4:C_CODE, Offset = E81F(81F), Local IN2L6 Ident = 179 Label Near Segment = 4:C_CODE, Offset = E821(821), Local L2ENDL6 Ident = 180 Label Near Segment = 4:C_CODE, Offset = E83D(83D), Local STARTL7 Ident = 181 Label Near Segment = 4:C_CODE, Offset = E85A(85A), Local NEG1L7 Ident = 182 Label Near Segment = 4:C_CODE, Offset = E866(866), Local IN1L7 Ident = 183 Label Near Segment = 4:C_CODE, Offset = E868(868), Local MIDL7 Ident = 184 Label Near Segment = 4:C_CODE, Offset = E887(887), Local NEG2L7 Ident = 185 Label Near Segment = 4:C_CODE, Offset = E895(895), Local IN2L7 Ident = 186 Label Near Segment = 4:C_CODE, Offset = E897(897), Local L2ENDL7 Ident = 187 Label Near Segment = 4:C_CODE, Offset = E8B3(8B3), Local ALLDONEO2I2 Ident = 188 Label Near Segment = 4:C_CODE, Offset = E8C5(8C5), Local HALFDONEO2I2 Ident = 189 Label Near Segment = 4:C_CODE, Offset = E8C7(8C7), Local ;File larknewslave.dsm [0000]0000: ;; LarkNewSlave.dsm ;SEGMENT SPACE.DEFAULT_CLASS ;SPACE SEGMENT ;SEGMENT ??SEG.DEFAULT_CLASS ;SPACE ENDS ;SEGMENT C_CODE.DEFAULT_CLASS ;C_CODE SEGMENT ;SEGMENT C_DATA.DEFAULT_CLASS ;C_DATA SEGMENT [0000]2700: 00 ;SO1L3 DB ? [0000]2701: 00 ;SO1L4 DB ? [0000]2702: 00 ;SO1L5 DB ? [0000]2703: 00 ;SO1L6 DB ? [0000]2704: 00 ;SO1L7 DB ? [0000]2705: 00 ;SO1L0 DB ? [0000]2706: 00 ;SO1L1 DB ? [0000]2707: 00 ;SO1L2 DB ? [0000]2708: 00 ;SO2L4 DB ? [0000]2709: 00 ;SO2L5 DB ? [0000]270A: 00 ;SO2L6 DB ? [0000]270B: 00 ;SO2L7 DB ? [0000]270C: 00 ;SO2L0 DB ? [0000]270D: 00 ;SO2L1 DB ? [0000]270E: 00 ;SO2L2 DB ? [0000]270F: 00 ;SO2L3 DB ? [0000]2710: 00 ;SO3L2 DB ? [0000]2711: 00 ;SO3L3 DB ? [0000]2712: 00 ;SO3L4 DB ? [0000]2713: 00 ;SO3L5 DB ? [0000]2714: 00 ;SO3L6 DB ? [0000]2715: 00 ;SO3L7 DB ? [0000]2716: 00 ;SO3L0 DB ? [0000]2717: 00 ;SO3L1 DB ? [0000]2718: 00 ;SI2L2 DB ? [0000]2719: 00 ;SI2L3 DB ? [0000]271A: 00 ;SI2L4 DB ? [0000]271B: 00 ;SI2L5 DB ? [0000]271C: 00 ;SI2L6 DB ? [0000]271D: 00 ;SI2L7 DB ? [0000]271E: 00 ;SI2L0 DB ? [0000]271F: 00 ;SI2L1 DB ? [0000]2720: 00 ;SI1L1 DB ? [0000]2721: 00 ;SI1L2 DB ? [0000]2722: 00 ;SI1L3 DB ? [0000]2723: 00 ;SI1L4 DB ? [0000]2724: 00 ;SI1L5 DB ? [0000]2725: 00 ;SI1L6 DB ? [0000]2726: 00 ;SI1L7 DB ? [0000]2727: 00 ;SI1L0 DB ? [0000]2728: 00 00 ;ModeBits DW ? [0000]272A: 00 00 ;SIGain DW ? [0000]272C: 00 00 ;SOGain DW ? [0000]272E: 00 00 ;SI2Gain DW ? [0000]2730: 00 00 ;SI1Gain DW ? [0000]2732: 00 00 ;SilVal1 DW ? [0000]2734: 00 00 ;SilVal2 DW ? [0000]2736: 00 ;SilLocHi1 DB ? [0000]2737: 00 ;SilLocHi2 DB ? [0000]2738: ;SEGMENT C_CODE.DEFAULT_CLASS ;C_DATA ENDS [0000]E000: E9 55 00 ; JMP HaltSlave [0000]E003: 00 ; DB 0 [0000]E004: 00 00 ; DW 0 [0000]E006: 00 00 ; DW 0 [0000]E008: 0C E0 ; DW 0E00CH ; NMI IP [0000]E00A: 00 00 ; DW 0 ; NMI CS [0000]E00C: B8 00 00 ; MOV AX,0 [0000]E00F: 8E D8 ; MOV DS,AX [0000]E011: 8E C0 ; MOV ES,AX [0000]E013: 8E D0 ; MOV SS,AX [0000]E015: BC F0 27 ; MOV SP,SPIN ; probably don't need a stack but... [0000]E018: FC ; CLD [0000]E019: 33 DB ; XOR BX,BX [0000]E01B: 8B B7 FC D9 ; MOV SI,[BX+CodeLoc] [0000]E01F: 83 FE 01 ; CMP SI,Prog03I1 [0000]E022: 75 03 ; JNZ TestO2I2 [0000]E024: E9 4F 00 ; JMP LoopO3I1 [0000]E027: 83 FE 02 ; CMP SI,Prog02I2 [0000]E02A: 75 03 ; JNZ TestBLT [0000]E02C: E9 E6 04 ; JMP LoopO2I2 [0000]E02F: 83 FE 04 ; CMP SI,ProgBLT [0000]E032: 75 03 ; JNZ TestJMP [0000]E034: E9 24 00 ; JMP LoopBLT [0000]E037: 83 FE 05 ; CMP SI,ProgJMP [0000]E03A: 75 03 ; JNZ LoadCode [0000]E03C: E9 2F 00 ; JMP LoopJMP [0000]E03F: 33 DB ; XOR BX,BX [0000]E041: 8B 8F FE D9 ; MOV CX,[BX+CodeLen] [0000]E045: 81 F9 00 03 ; CMP CX,MaxCode [0000]E049: 76 03 ; JBE LenOK [0000]E04B: B9 00 03 ; MOV CX,MaxCode [0000]E04E: BF 00 20 ; MOV DI,RAMAdr ; address of RAM [0000]E051: F3 A5 ; REP MOVSW [0000]E053: BB 00 20 ; MOV BX,RAMAdr [0000]E056: FF E3 ; JMP BX [0000]E058: F4 ; HLT [0000]E059: EB FD ; JMP HaltSlave [0000]E05B: 33 DB ; XOR BX,BX [0000]E05D: 8B B7 EA D9 ; MOV SI,[BX+BltFrom] [0000]E061: 8B BF EC D9 ; MOV DI,[BX+BltTo] [0000]E065: 8B 8F E8 D9 ; MOV CX,[BX+BltCount] [0000]E069: FC ; CLD [0000]E06A: F3 A5 ; REP MOVSW [0000]E06C: EB EA ; JMP HaltSlave [0000]E06E: 33 DB ; XOR BX,BX [0000]E070: 8B 9F EA D9 ; MOV BX,[BX+BltFrom] [0000]E074: FF E3 ; JMP BX [0000]E076: B8 00 00 ; MOV AX,0 [0000]E079: 8E D8 ; MOV DS,AX [0000]E07B: 8E C0 ; MOV ES,AX [0000]E07D: 8E D0 ; MOV SS,AX [0000]E07F: BC F0 27 ; MOV SP,SPIN ; probably don't need a stack but... [0000]E082: 33 D2 ; XOR DX,DX ; initialize silence register [0000]E084: 33 ED ; XOR BP,BP ; initialize buffer pointer [0000]E086: FC ; CLD ; string ops increment [0000]E087: BE E6 D9 ; MOV SI,SilTabLoc [0000]E08A: 8B 04 ; MOV AX,[SI] [0000]E08C: A3 36 27 ; MOV SilLocHi,AX [0000]E08F: E9 00 00 ; JMP MidPktO3I1 [0000]E092: BE F2 D9 ; MOV SI,In1Gain [0000]E095: BF 2A 27 ; MOV DI,OFFSET SIGain [0000]E098: 9B ; WAIT [0000]E099: A5 ; MOVSW ; read In1Gain [0000]E09A: A5 ; MOVSW ; read OutGain [0000]E09B: 89 2C ; MOV [SI],BP ; store pointer (was in BP) [0000]E09D: 8B 44 F8 ; MOV AX,[-8+SI] [0000]E0A0: A3 28 27 ; MOV ModeBits,AX [0000]E0A3: E9 10 00 ; JMP StartL0 [0000]E0A6: BE F2 D9 ; MOV SI,In1Gain [0000]E0A9: BF 2A 27 ; MOV DI,OFFSET SIGain [0000]E0AC: 9B ; WAIT [0000]E0AD: A5 ; MOVSW ; read In1Gain [0000]E0AE: A5 ; MOVSW ; read OutGain [0000]E0AF: 89 2C ; MOV [SI],BP ; store pointer (was in BP) [0000]E0B1: 89 54 02 ; MOV [2+SI],DX ; store Sil1Val [0000]E0B4: 33 D2 ; XOR DX,DX [0000]E0B6: E4 00 ; IN AL,ISRPort ; must be after end of TS1 [0000]E0B8: 8A D8 ; MOV BL,AL [0000]E0BA: D1 E3 ; SHL BX,1 [0000]E0BC: 8A 3E 36 27 ; MOV BH,SilLocHi1 [0000]E0C0: 03 17 ; ADD DX,[BX] ; add to silence reg. [0000]E0C2: 8B 1E 2A 27 ; MOV BX,SIGain [0000]E0C6: D7 ; XLATB ; get sample, with gain [0000]E0C7: A2 27 27 ; MOV SI1L0,AL ; sample to input save area [0000]E0CA: B9 08 00 ; MOV CX,O3Delay [0000]E0CD: E2 FE ; LOOP O3DL0 [0000]E0CF: 8B 3E 2C 27 ; MOV DI,SOGain [0000]E0D3: 8A 1E 05 27 ; MOV BL,SO1L0 [0000]E0D7: 32 FF ; XOR BH,BH [0000]E0D9: D1 E3 ; SHL BX,1 [0000]E0DB: 8B 01 ; MOV AX,[DI][BX] ; first MOV, others ADD [0000]E0DD: 8A 1E 0C 27 ; MOV BL,SO2L0 [0000]E0E1: 32 FF ; XOR BH,BH [0000]E0E3: D1 E3 ; SHL BX,1 [0000]E0E5: 03 01 ; ADD AX,[DI][BX] [0000]E0E7: F6 06 28 27 01 ; TEST ModeLow,1 [0000]E0EC: 74 0A ; JZ O3ML0 [0000]E0EE: 8A 1E 27 27 ; MOV BL,SI1L0 [0000]E0F2: 32 FF ; XOR BH,BH [0000]E0F4: D1 E3 ; SHL BX,1 [0000]E0F6: 03 01 ; ADD AX,[DI][BX] [0000]E0F8: 8A 1E 16 27 ; MOV BL,SO3L0 [0000]E0FC: 32 FF ; XOR BH,BH [0000]E0FE: D1 E3 ; SHL BX,1 [0000]E100: 03 01 ; ADD AX,[DI][BX] [0000]E102: 79 1B ; JNS PosL0 [0000]E104: F7 D8 ; NEG AX [0000]E106: A9 00 F8 ; TEST AX,0F800H ; Check for underflow [0000]E109: 75 0D ; JNZ UFlowL0 [0000]E10B: 8B D8 ; MOV BX,AX [0000]E10D: 8A 87 00 F0 ; MOV AL,[MuTbl+BX] [0000]E111: 0C 80 ; OR AL,080H [0000]E113: E6 0C ; OUT OSRNegPort,AL [0000]E115: E9 1B 00 ; JMP EndL0 [0000]E118: B0 FF ; MOV AL,NegMaxMuVal ; smallest mu-law [0000]E11A: E6 0C ; OUT OSRNegPort,AL [0000]E11C: E9 14 00 ; JMP EndL0 [0000]E11F: A9 00 F8 ; TEST AX,0F800H ; Check for underflow [0000]E122: 75 0B ; JNZ OFlowL0 [0000]E124: 8B D8 ; MOV BX,AX [0000]E126: 8A 87 00 F0 ; MOV AL,[MuTbl+BX] [0000]E12A: E6 04 ; OUT OSRPosPort,AL [0000]E12C: E9 04 00 ; JMP EndL0 [0000]E12F: B0 7F ; MOV AL,MaxMuVal ; largest mu-law [0000]E131: E6 04 ; OUT OSRPosPort,AL [0000]E133: BE 20 27 ; MOV SI,OFFSET SIn1 [0000]E136: BF 00 D4 ; MOV DI,InBuf1 [0000]E139: 03 FD ; ADD DI,BP [0000]E13B: B9 04 00 ; MOV CX,4 [0000]E13E: 9B ; WAIT [0000]E13F: F3 A5 ; REP MOVSW [0000]E141: E4 00 ; IN AL,ISRPort [0000]E143: 8A D8 ; MOV BL,AL [0000]E145: D1 E3 ; SHL BX,1 [0000]E147: 8A 3E 36 27 ; MOV BH,SilLocHi1 [0000]E14B: 03 17 ; ADD DX,[BX] ; add to silence reg. [0000]E14D: 8B 1E 2A 27 ; MOV BX,SIGain [0000]E151: D7 ; XLATB ; get sample, with gain [0000]E152: A2 20 27 ; MOV SI1L1,AL ; sample to input save area [0000]E155: B9 08 00 ; MOV CX,O3Delay [0000]E158: E2 FE ; LOOP O3DL1 [0000]E15A: 8B 3E 2C 27 ; MOV DI,SOGain [0000]E15E: 8A 1E 06 27 ; MOV BL,SO1L1 [0000]E162: 32 FF ; XOR BH,BH [0000]E164: D1 E3 ; SHL BX,1 [0000]E166: 8B 01 ; MOV AX,[DI][BX] ; first MOV, others ADD [0000]E168: 8A 1E 0D 27 ; MOV BL,SO2L1 [0000]E16C: 32 FF ; XOR BH,BH [0000]E16E: D1 E3 ; SHL BX,1 [0000]E170: 03 01 ; ADD AX,[DI][BX] [0000]E172: F6 06 28 27 01 ; TEST ModeLow,1 [0000]E177: 74 0A ; JZ O3ML1 [0000]E179: 8A 1E 20 27 ; MOV BL,SI1L1 [0000]E17D: 32 FF ; XOR BH,BH [0000]E17F: D1 E3 ; SHL BX,1 [0000]E181: 03 01 ; ADD AX,[DI][BX] [0000]E183: 8A 1E 17 27 ; MOV BL,SO3L1 [0000]E187: 32 FF ; XOR BH,BH [0000]E189: D1 E3 ; SHL BX,1 [0000]E18B: 03 01 ; ADD AX,[DI][BX] [0000]E18D: 79 1B ; JNS PosL1 [0000]E18F: F7 D8 ; NEG AX [0000]E191: A9 00 F8 ; TEST AX,0F800H ; Check for underflow [0000]E194: 75 0D ; JNZ UFlowL1 [0000]E196: 8B D8 ; MOV BX,AX [0000]E198: 8A 87 00 F0 ; MOV AL,[MuTbl+BX] [0000]E19C: 0C 80 ; OR AL,080H [0000]E19E: E6 0C ; OUT OSRNegPort,AL [0000]E1A0: E9 1B 00 ; JMP EndL1 [0000]E1A3: B0 FF ; MOV AL,NegMaxMuVal ; smallest mu-law [0000]E1A5: E6 0C ; OUT OSRNegPort,AL [0000]E1A7: E9 14 00 ; JMP EndL1 [0000]E1AA: A9 00 F8 ; TEST AX,0F800H ; Check for underflow [0000]E1AD: 75 0B ; JNZ OFlowL1 [0000]E1AF: 8B D8 ; MOV BX,AX [0000]E1B1: 8A 87 00 F0 ; MOV AL,[MuTbl+BX] [0000]E1B5: E6 04 ; OUT OSRPosPort,AL [0000]E1B7: E9 04 00 ; JMP EndL1 [0000]E1BA: B0 7F ; MOV AL,MaxMuVal ; largest mu-law [0000]E1BC: E6 04 ; OUT OSRPosPort,AL [0000]E1BE: BE 80 D8 ; MOV SI,OutBuf3 [0000]E1C1: 03 F5 ; ADD SI,BP [0000]E1C3: BF 10 27 ; MOV DI,OFFSET SOut3 [0000]E1C6: B9 04 00 ; MOV CX,4 [0000]E1C9: 9B ; WAIT [0000]E1CA: F3 A5 ; REP MOVSW [0000]E1CC: E4 00 ; IN AL,ISRPort [0000]E1CE: 8A D8 ; MOV BL,AL [0000]E1D0: D1 E3 ; SHL BX,1 [0000]E1D2: 8A 3E 36 27 ; MOV BH,SilLocHi1 [0000]E1D6: 03 17 ; ADD DX,[BX] ; add to silence reg. [0000]E1D8: 8B 1E 2A 27 ; MOV BX,SIGain [0000]E1DC: D7 ; XLATB ; get sample, with gain [0000]E1DD: A2 21 27 ; MOV SI1L2,AL ; sample to input save area [0000]E1E0: B9 08 00 ; MOV CX,O3Delay [0000]E1E3: E2 FE ; LOOP O3DL2 [0000]E1E5: 8B 3E 2C 27 ; MOV DI,SOGain [0000]E1E9: 8A 1E 07 27 ; MOV BL,SO1L2 [0000]E1ED: 32 FF ; XOR BH,BH [0000]E1EF: D1 E3 ; SHL BX,1 [0000]E1F1: 8B 01 ; MOV AX,[DI][BX] ; first MOV, others ADD [0000]E1F3: 8A 1E 0E 27 ; MOV BL,SO2L2 [0000]E1F7: 32 FF ; XOR BH,BH [0000]E1F9: D1 E3 ; SHL BX,1 [0000]E1FB: 03 01 ; ADD AX,[DI][BX] [0000]E1FD: F6 06 28 27 01 ; TEST ModeLow,1 [0000]E202: 74 0A ; JZ O3ML2 [0000]E204: 8A 1E 21 27 ; MOV BL,SI1L2 [0000]E208: 32 FF ; XOR BH,BH [0000]E20A: D1 E3 ; SHL BX,1 [0000]E20C: 03 01 ; ADD AX,[DI][BX] [0000]E20E: 8A 1E 10 27 ; MOV BL,SO3L2 [0000]E212: 32 FF ; XOR BH,BH [0000]E214: D1 E3 ; SHL BX,1 [0000]E216: 03 01 ; ADD AX,[DI][BX] [0000]E218: 79 1B ; JNS PosL2 [0000]E21A: F7 D8 ; NEG AX [0000]E21C: A9 00 F8 ; TEST AX,0F800H ; Check for underflow [0000]E21F: 75 0D ; JNZ UFlowL2 [0000]E221: 8B D8 ; MOV BX,AX [0000]E223: 8A 87 00 F0 ; MOV AL,[MuTbl+BX] [0000]E227: 0C 80 ; OR AL,080H [0000]E229: E6 0C ; OUT OSRNegPort,AL [0000]E22B: E9 1B 00 ; JMP EndL2 [0000]E22E: B0 FF ; MOV AL,NegMaxMuVal ; smallest mu-law [0000]E230: E6 0C ; OUT OSRNegPort,AL [0000]E232: E9 14 00 ; JMP EndL2 [0000]E235: A9 00 F8 ; TEST AX,0F800H ; Check for underflow [0000]E238: 75 0B ; JNZ OFlowL2 [0000]E23A: 8B D8 ; MOV BX,AX [0000]E23C: 8A 87 00 F0 ; MOV AL,[MuTbl+BX] [0000]E240: E6 04 ; OUT OSRPosPort,AL [0000]E242: E9 04 00 ; JMP EndL2 [0000]E245: B0 7F ; MOV AL,MaxMuVal ; largest mu-law [0000]E247: E6 04 ; OUT OSRPosPort,AL [0000]E249: BE 80 D5 ; MOV SI,OutBuf1 [0000]E24C: 03 F5 ; ADD SI,BP [0000]E24E: BF 00 27 ; MOV DI,OFFSET SOut1 [0000]E251: B9 04 00 ; MOV CX,4 [0000]E254: 9B ; WAIT [0000]E255: F3 A5 ; REP MOVSW [0000]E257: E4 00 ; IN AL,ISRPort [0000]E259: 8A D8 ; MOV BL,AL [0000]E25B: D1 E3 ; SHL BX,1 [0000]E25D: 8A 3E 36 27 ; MOV BH,SilLocHi1 [0000]E261: 03 17 ; ADD DX,[BX] ; add to silence reg. [0000]E263: 8B 1E 2A 27 ; MOV BX,SIGain [0000]E267: D7 ; XLATB ; get sample, with gain [0000]E268: A2 22 27 ; MOV SI1L3,AL ; sample to input save area [0000]E26B: B9 08 00 ; MOV CX,O3Delay [0000]E26E: E2 FE ; LOOP O3DL3 [0000]E270: 8B 3E 2C 27 ; MOV DI,SOGain [0000]E274: 8A 1E 00 27 ; MOV BL,SO1L3 [0000]E278: 32 FF ; XOR BH,BH [0000]E27A: D1 E3 ; SHL BX,1 [0000]E27C: 8B 01 ; MOV AX,[DI][BX] ; first MOV, others ADD [0000]E27E: 8A 1E 0F 27 ; MOV BL,SO2L3 [0000]E282: 32 FF ; XOR BH,BH [0000]E284: D1 E3 ; SHL BX,1 [0000]E286: 03 01 ; ADD AX,[DI][BX] [0000]E288: F6 06 28 27 01 ; TEST ModeLow,1 [0000]E28D: 74 0A ; JZ O3ML3 [0000]E28F: 8A 1E 22 27 ; MOV BL,SI1L3 [0000]E293: 32 FF ; XOR BH,BH [0000]E295: D1 E3 ; SHL BX,1 [0000]E297: 03 01 ; ADD AX,[DI][BX] [0000]E299: 8A 1E 11 27 ; MOV BL,SO3L3 [0000]E29D: 32 FF ; XOR BH,BH [0000]E29F: D1 E3 ; SHL BX,1 [0000]E2A1: 03 01 ; ADD AX,[DI][BX] [0000]E2A3: 79 1B ; JNS PosL3 [0000]E2A5: F7 D8 ; NEG AX [0000]E2A7: A9 00 F8 ; TEST AX,0F800H ; Check for underflow [0000]E2AA: 75 0D ; JNZ UFlowL3 [0000]E2AC: 8B D8 ; MOV BX,AX [0000]E2AE: 8A 87 00 F0 ; MOV AL,[MuTbl+BX] [0000]E2B2: 0C 80 ; OR AL,080H [0000]E2B4: E6 0C ; OUT OSRNegPort,AL [0000]E2B6: E9 1B 00 ; JMP EndL3 [0000]E2B9: B0 FF ; MOV AL,NegMaxMuVal ; smallest mu-law [0000]E2BB: E6 0C ; OUT OSRNegPort,AL [0000]E2BD: E9 14 00 ; JMP EndL3 [0000]E2C0: A9 00 F8 ; TEST AX,0F800H ; Check for underflow [0000]E2C3: 75 0B ; JNZ OFlowL3 [0000]E2C5: 8B D8 ; MOV BX,AX [0000]E2C7: 8A 87 00 F0 ; MOV AL,[MuTbl+BX] [0000]E2CB: E6 04 ; OUT OSRPosPort,AL [0000]E2CD: E9 04 00 ; JMP EndL3 [0000]E2D0: B0 7F ; MOV AL,MaxMuVal ; largest mu-law [0000]E2D2: E6 04 ; OUT OSRPosPort,AL [0000]E2D4: BE 00 D7 ; MOV SI,OutBuf2 [0000]E2D7: 03 F5 ; ADD SI,BP [0000]E2D9: BF 08 27 ; MOV DI,OFFSET SOut2 [0000]E2DC: B9 04 00 ; MOV CX,4 [0000]E2DF: 9B ; WAIT [0000]E2E0: F3 A5 ; REP MOVSW [0000]E2E2: E4 00 ; IN AL,ISRPort [0000]E2E4: 8A D8 ; MOV BL,AL [0000]E2E6: D1 E3 ; SHL BX,1 [0000]E2E8: 8A 3E 36 27 ; MOV BH,SilLocHi1 [0000]E2EC: 03 17 ; ADD DX,[BX] ; add to silence reg. [0000]E2EE: 8B 1E 2A 27 ; MOV BX,SIGain [0000]E2F2: D7 ; XLATB ; get sample, with gain [0000]E2F3: A2 23 27 ; MOV SI1L4,AL ; sample to input save area [0000]E2F6: B9 08 00 ; MOV CX,O3Delay [0000]E2F9: E2 FE ; LOOP O3DL4 [0000]E2FB: 8B 3E 2C 27 ; MOV DI,SOGain [0000]E2FF: 8A 1E 01 27 ; MOV BL,SO1L4 [0000]E303: 32 FF ; XOR BH,BH [0000]E305: D1 E3 ; SHL BX,1 [0000]E307: 8B 01 ; MOV AX,[DI][BX] ; first MOV, others ADD [0000]E309: 8A 1E 08 27 ; MOV BL,SO2L4 [0000]E30D: 32 FF ; XOR BH,BH [0000]E30F: D1 E3 ; SHL BX,1 [0000]E311: 03 01 ; ADD AX,[DI][BX] [0000]E313: F6 06 28 27 01 ; TEST ModeLow,1 [0000]E318: 74 0A ; JZ O3ML4 [0000]E31A: 8A 1E 23 27 ; MOV BL,SI1L4 [0000]E31E: 32 FF ; XOR BH,BH [0000]E320: D1 E3 ; SHL BX,1 [0000]E322: 03 01 ; ADD AX,[DI][BX] [0000]E324: 8A 1E 12 27 ; MOV BL,SO3L4 [0000]E328: 32 FF ; XOR BH,BH [0000]E32A: D1 E3 ; SHL BX,1 [0000]E32C: 03 01 ; ADD AX,[DI][BX] [0000]E32E: 79 1B ; JNS PosL4 [0000]E330: F7 D8 ; NEG AX [0000]E332: A9 00 F8 ; TEST AX,0F800H ; Check for underflow [0000]E335: 75 0D ; JNZ UFlowL4 [0000]E337: 8B D8 ; MOV BX,AX [0000]E339: 8A 87 00 F0 ; MOV AL,[MuTbl+BX] [0000]E33D: 0C 80 ; OR AL,080H [0000]E33F: E6 0C ; OUT OSRNegPort,AL [0000]E341: E9 1B 00 ; JMP EndL4 [0000]E344: B0 FF ; MOV AL,NegMaxMuVal ; smallest mu-law [0000]E346: E6 0C ; OUT OSRNegPort,AL [0000]E348: E9 14 00 ; JMP EndL4 [0000]E34B: A9 00 F8 ; TEST AX,0F800H ; Check for underflow [0000]E34E: 75 0B ; JNZ OFlowL4 [0000]E350: 8B D8 ; MOV BX,AX [0000]E352: 8A 87 00 F0 ; MOV AL,[MuTbl+BX] [0000]E356: E6 04 ; OUT OSRPosPort,AL [0000]E358: E9 04 00 ; JMP EndL4 [0000]E35B: B0 7F ; MOV AL,MaxMuVal ; largest mu-law [0000]E35D: E6 04 ; OUT OSRPosPort,AL [0000]E35F: 33 C0 ; XOR AX,AX [0000]E361: BF 80 D5 ; MOV DI,OutBuf1 [0000]E364: 03 FD ; ADD DI,BP [0000]E366: B9 04 00 ; MOV CX,4 [0000]E369: 9B ; WAIT [0000]E36A: F3 AB ; REP STOSW [0000]E36C: FC ; CLD [0000]E36D: E4 00 ; IN AL,ISRPort [0000]E36F: 8A D8 ; MOV BL,AL [0000]E371: D1 E3 ; SHL BX,1 [0000]E373: 8A 3E 36 27 ; MOV BH,SilLocHi1 [0000]E377: 03 17 ; ADD DX,[BX] ; add to silence reg. [0000]E379: 8B 1E 2A 27 ; MOV BX,SIGain [0000]E37D: D7 ; XLATB ; get sample, with gain [0000]E37E: A2 24 27 ; MOV SI1L5,AL ; sample to input save area [0000]E381: B9 08 00 ; MOV CX,O3Delay [0000]E384: E2 FE ; LOOP O3DL5 [0000]E386: 8B 3E 2C 27 ; MOV DI,SOGain [0000]E38A: 8A 1E 02 27 ; MOV BL,SO1L5 [0000]E38E: 32 FF ; XOR BH,BH [0000]E390: D1 E3 ; SHL BX,1 [0000]E392: 8B 01 ; MOV AX,[DI][BX] ; first MOV, others ADD [0000]E394: 8A 1E 09 27 ; MOV BL,SO2L5 [0000]E398: 32 FF ; XOR BH,BH [0000]E39A: D1 E3 ; SHL BX,1 [0000]E39C: 03 01 ; ADD AX,[DI][BX] [0000]E39E: F6 06 28 27 01 ; TEST ModeLow,1 [0000]E3A3: 74 0A ; JZ O3ML5 [0000]E3A5: 8A 1E 24 27 ; MOV BL,SI1L5 [0000]E3A9: 32 FF ; XOR BH,BH [0000]E3AB: D1 E3 ; SHL BX,1 [0000]E3AD: 03 01 ; ADD AX,[DI][BX] [0000]E3AF: 8A 1E 13 27 ; MOV BL,SO3L5 [0000]E3B3: 32 FF ; XOR BH,BH [0000]E3B5: D1 E3 ; SHL BX,1 [0000]E3B7: 03 01 ; ADD AX,[DI][BX] [0000]E3B9: 79 1B ; JNS PosL5 [0000]E3BB: F7 D8 ; NEG AX [0000]E3BD: A9 00 F8 ; TEST AX,0F800H ; Check for underflow [0000]E3C0: 75 0D ; JNZ UFlowL5 [0000]E3C2: 8B D8 ; MOV BX,AX [0000]E3C4: 8A 87 00 F0 ; MOV AL,[MuTbl+BX] [0000]E3C8: 0C 80 ; OR AL,080H [0000]E3CA: E6 0C ; OUT OSRNegPort,AL [0000]E3CC: E9 1B 00 ; JMP EndL5 [0000]E3CF: B0 FF ; MOV AL,NegMaxMuVal ; smallest mu-law [0000]E3D1: E6 0C ; OUT OSRNegPort,AL [0000]E3D3: E9 14 00 ; JMP EndL5 [0000]E3D6: A9 00 F8 ; TEST AX,0F800H ; Check for underflow [0000]E3D9: 75 0B ; JNZ OFlowL5 [0000]E3DB: 8B D8 ; MOV BX,AX [0000]E3DD: 8A 87 00 F0 ; MOV AL,[MuTbl+BX] [0000]E3E1: E6 04 ; OUT OSRPosPort,AL [0000]E3E3: E9 04 00 ; JMP EndL5 [0000]E3E6: B0 7F ; MOV AL,MaxMuVal ; largest mu-law [0000]E3E8: E6 04 ; OUT OSRPosPort,AL [0000]E3EA: 33 C0 ; XOR AX,AX [0000]E3EC: BF 00 D7 ; MOV DI,OutBuf2 [0000]E3EF: 03 FD ; ADD DI,BP [0000]E3F1: B9 04 00 ; MOV CX,4 [0000]E3F4: 9B ; WAIT [0000]E3F5: F3 AB ; REP STOSW [0000]E3F7: E4 00 ; IN AL,ISRPort [0000]E3F9: 8A D8 ; MOV BL,AL [0000]E3FB: D1 E3 ; SHL BX,1 [0000]E3FD: 8A 3E 36 27 ; MOV BH,SilLocHi1 [0000]E401: 03 17 ; ADD DX,[BX] ; add to silence reg. [0000]E403: 8B 1E 2A 27 ; MOV BX,SIGain [0000]E407: D7 ; XLATB ; get sample, with gain [0000]E408: A2 25 27 ; MOV SI1L6,AL ; sample to input save area [0000]E40B: B9 08 00 ; MOV CX,O3Delay [0000]E40E: E2 FE ; LOOP O3DL6 [0000]E410: 8B 3E 2C 27 ; MOV DI,SOGain [0000]E414: 8A 1E 03 27 ; MOV BL,SO1L6 [0000]E418: 32 FF ; XOR BH,BH [0000]E41A: D1 E3 ; SHL BX,1 [0000]E41C: 8B 01 ; MOV AX,[DI][BX] ; first MOV, others ADD [0000]E41E: 8A 1E 0A 27 ; MOV BL,SO2L6 [0000]E422: 32 FF ; XOR BH,BH [0000]E424: D1 E3 ; SHL BX,1 [0000]E426: 03 01 ; ADD AX,[DI][BX] [0000]E428: F6 06 28 27 01 ; TEST ModeLow,1 [0000]E42D: 74 0A ; JZ O3ML6 [0000]E42F: 8A 1E 25 27 ; MOV BL,SI1L6 [0000]E433: 32 FF ; XOR BH,BH [0000]E435: D1 E3 ; SHL BX,1 [0000]E437: 03 01 ; ADD AX,[DI][BX] [0000]E439: 8A 1E 14 27 ; MOV BL,SO3L6 [0000]E43D: 32 FF ; XOR BH,BH [0000]E43F: D1 E3 ; SHL BX,1 [0000]E441: 03 01 ; ADD AX,[DI][BX] [0000]E443: 79 1B ; JNS PosL6 [0000]E445: F7 D8 ; NEG AX [0000]E447: A9 00 F8 ; TEST AX,0F800H ; Check for underflow [0000]E44A: 75 0D ; JNZ UFlowL6 [0000]E44C: 8B D8 ; MOV BX,AX [0000]E44E: 8A 87 00 F0 ; MOV AL,[MuTbl+BX] [0000]E452: 0C 80 ; OR AL,080H [0000]E454: E6 0C ; OUT OSRNegPort,AL [0000]E456: E9 1B 00 ; JMP EndL6 [0000]E459: B0 FF ; MOV AL,NegMaxMuVal ; smallest mu-law [0000]E45B: E6 0C ; OUT OSRNegPort,AL [0000]E45D: E9 14 00 ; JMP EndL6 [0000]E460: A9 00 F8 ; TEST AX,0F800H ; Check for underflow [0000]E463: 75 0B ; JNZ OFlowL6 [0000]E465: 8B D8 ; MOV BX,AX [0000]E467: 8A 87 00 F0 ; MOV AL,[MuTbl+BX] [0000]E46B: E6 04 ; OUT OSRPosPort,AL [0000]E46D: E9 04 00 ; JMP EndL6 [0000]E470: B0 7F ; MOV AL,MaxMuVal ; largest mu-law [0000]E472: E6 04 ; OUT OSRPosPort,AL [0000]E474: 33 C0 ; XOR AX,AX [0000]E476: BF 80 D8 ; MOV DI,OutBuf3 [0000]E479: 03 FD ; ADD DI,BP [0000]E47B: B9 04 00 ; MOV CX,4 [0000]E47E: 9B ; WAIT [0000]E47F: F3 AB ; REP STOSW [0000]E481: E4 00 ; IN AL,ISRPort [0000]E483: 8A D8 ; MOV BL,AL [0000]E485: D1 E3 ; SHL BX,1 [0000]E487: 8A 3E 36 27 ; MOV BH,SilLocHi1 [0000]E48B: 03 17 ; ADD DX,[BX] ; add to silence reg. [0000]E48D: 8B 1E 2A 27 ; MOV BX,SIGain [0000]E491: D7 ; XLATB ; get sample, with gain [0000]E492: A2 26 27 ; MOV SI1L7,AL ; sample to input save area [0000]E495: B9 08 00 ; MOV CX,O3Delay [0000]E498: E2 FE ; LOOP O3DL7 [0000]E49A: 8B 3E 2C 27 ; MOV DI,SOGain [0000]E49E: 8A 1E 04 27 ; MOV BL,SO1L7 [0000]E4A2: 32 FF ; XOR BH,BH [0000]E4A4: D1 E3 ; SHL BX,1 [0000]E4A6: 8B 01 ; MOV AX,[DI][BX] ; first MOV, others ADD [0000]E4A8: 8A 1E 0B 27 ; MOV BL,SO2L7 [0000]E4AC: 32 FF ; XOR BH,BH [0000]E4AE: D1 E3 ; SHL BX,1 [0000]E4B0: 03 01 ; ADD AX,[DI][BX] [0000]E4B2: F6 06 28 27 01 ; TEST ModeLow,1 [0000]E4B7: 74 0A ; JZ O3ML7 [0000]E4B9: 8A 1E 26 27 ; MOV BL,SI1L7 [0000]E4BD: 32 FF ; XOR BH,BH [0000]E4BF: D1 E3 ; SHL BX,1 [0000]E4C1: 03 01 ; ADD AX,[DI][BX] [0000]E4C3: 8A 1E 15 27 ; MOV BL,SO3L7 [0000]E4C7: 32 FF ; XOR BH,BH [0000]E4C9: D1 E3 ; SHL BX,1 [0000]E4CB: 03 01 ; ADD AX,[DI][BX] [0000]E4CD: 79 1B ; JNS PosL7 [0000]E4CF: F7 D8 ; NEG AX [0000]E4D1: A9 00 F8 ; TEST AX,0F800H ; Check for underflow [0000]E4D4: 75 0D ; JNZ UFlowL7 [0000]E4D6: 8B D8 ; MOV BX,AX [0000]E4D8: 8A 87 00 F0 ; MOV AL,[MuTbl+BX] [0000]E4DC: 0C 80 ; OR AL,080H [0000]E4DE: E6 0C ; OUT OSRNegPort,AL [0000]E4E0: E9 1B 00 ; JMP EndL7 [0000]E4E3: B0 FF ; MOV AL,NegMaxMuVal ; smallest mu-law [0000]E4E5: E6 0C ; OUT OSRNegPort,AL [0000]E4E7: E9 14 00 ; JMP EndL7 [0000]E4EA: A9 00 F8 ; TEST AX,0F800H ; Check for underflow [0000]E4ED: 75 0B ; JNZ OFlowL7 [0000]E4EF: 8B D8 ; MOV BX,AX [0000]E4F1: 8A 87 00 F0 ; MOV AL,[MuTbl+BX] [0000]E4F5: E6 04 ; OUT OSRPosPort,AL [0000]E4F7: E9 04 00 ; JMP EndL7 [0000]E4FA: B0 7F ; MOV AL,MaxMuVal ; largest mu-law [0000]E4FC: E6 04 ; OUT OSRPosPort,AL [0000]E4FE: 83 C5 08 ; ADD BP,8 [0000]E501: 81 FD 40 01 ; CMP BP,LastIL ; 320 [0000]E505: 7D 09 ; JGE AllDoneO3I1 [0000]E507: 81 FD A0 00 ; CMP BP,HalfIL ; 160 [0000]E50B: 74 05 ; JE HalfDoneO3I1 [0000]E50D: E9 82 FB ; JMP MidPktO3I1 [0000]E510: 33 ED ; XOR BP,BP [0000]E512: E9 91 FB ; JMP EndPktO3I1 [0000]E515: B8 00 00 ; MOV AX,0 [0000]E518: 8E D8 ; MOV DS,AX [0000]E51A: 8E C0 ; MOV ES,AX [0000]E51C: 8E D0 ; MOV SS,AX [0000]E51E: BC F0 27 ; MOV SP,SPIN ; probably don't need a stack but... [0000]E521: 33 D2 ; XOR DX,DX ; initialize silence register [0000]E523: 33 ED ; XOR BP,BP ; initialize buffer pointer [0000]E525: FC ; CLD ; string ops increment [0000]E526: BE E6 D9 ; MOV SI,SilTabLoc [0000]E529: 8B 04 ; MOV AX,[SI] [0000]E52B: A3 36 27 ; MOV SilLocHi,AX [0000]E52E: E9 00 00 ; JMP MidPktO2I2 [0000]E531: BE F6 D9 ; MOV SI,BufPtr [0000]E534: 9B ; WAIT [0000]E535: 89 2C ; MOV [SI],BP ; store pointer (was in BP) [0000]E537: 90 ; NOP [0000]E538: 90 ; NOP [0000]E539: 90 ; NOP [0000]E53A: 90 ; NOP [0000]E53B: 90 ; NOP [0000]E53C: 90 ; NOP [0000]E53D: 90 ; NOP [0000]E53E: 90 ; NOP [0000]E53F: 90 ; NOP [0000]E540: 90 ; NOP [0000]E541: 90 ; NOP [0000]E542: 90 ; NOP [0000]E543: 90 ; NOP [0000]E544: 90 ; NOP [0000]E545: 90 ; NOP [0000]E546: 90 ; NOP [0000]E547: E9 17 00 ; JMP StartL0O2I2 [0000]E54A: BE 32 27 ; MOV SI,OFFSET SilVal1 [0000]E54D: BF F8 D9 ; MOV DI,Sil1Val [0000]E550: 9B ; WAIT [0000]E551: A5 ; MOVSW ; store SilVal1 [0000]E552: A5 ; MOVSW ; store SilVal2 [0000]E553: 89 2C ; MOV [SI],BP ; store pointer (was in BP) [0000]E555: C7 06 32 27 00 00 ; MOV SilVal1,0 [0000]E55B: C7 06 34 27 00 00 ; MOV SilVal2,0 [0000]E561: A0 0C 27 ; MOV AL,SO2L0 ; output for TS13 [0000]E564: 0A C0 ; OR AL,AL [0000]E566: 78 05 ; JS Neg1L0 [0000]E568: E6 04 ; OUT OSRPosPort,AL [0000]E56A: E9 02 00 ; JMP In1L0 [0000]E56D: E6 0C ; OUT OSRNegPort,AL [0000]E56F: E4 00 ; IN AL,ISRPort ; input from TS1 [0000]E571: 8A C8 ; MOV CL,AL [0000]E573: D1 E1 ; SHL CX,1 [0000]E575: 8A 2E 36 27 ; MOV CH,SilLocHi1 [0000]E579: 8B 1E 30 27 ; MOV BX,SI1Gain [0000]E57D: D7 ; XLATB ; get sample, with gain [0000]E57E: A2 27 27 ; MOV SI1L0,AL ; sample to input save area [0000]E581: A1 32 27 ; MOV AX,SilVal1 [0000]E584: 8B D9 ; MOV BX,CX [0000]E586: 03 07 ; ADD AX,[BX] ; add to silence reg. [0000]E588: A3 32 27 ; MOV SilVal1,AX [0000]E58B: B9 0E 00 ; MOV CX,O2Delay [0000]E58E: E2 FE ; LOOP MidL0 [0000]E590: A0 05 27 ; MOV AL,SO1L0 ; output for TS1 [0000]E593: 0A C0 ; OR AL,AL [0000]E595: 78 05 ; JS Neg2L0 [0000]E597: E6 04 ; OUT OSRPosPort,AL [0000]E599: E9 02 00 ; JMP In2L0 [0000]E59C: E6 0C ; OUT OSRNegPort,AL [0000]E59E: E4 00 ; IN AL,ISRPort ; Input from TS13 [0000]E5A0: 8A C8 ; MOV CL,AL [0000]E5A2: D1 E1 ; SHL CX,1 [0000]E5A4: 8A 2E 37 27 ; MOV CH,SilLocHi2 [0000]E5A8: 8B 1E 2E 27 ; MOV BX,SI2Gain [0000]E5AC: D7 ; XLATB ; get sample, with gain [0000]E5AD: A2 1E 27 ; MOV SI2L0,AL ; sample to input save area [0000]E5B0: A1 34 27 ; MOV AX,SilVal2 [0000]E5B3: 8B D9 ; MOV BX,CX [0000]E5B5: 03 07 ; ADD AX,[BX] ; add to silence reg. [0000]E5B7: A3 34 27 ; MOV SilVal2,AX [0000]E5BA: BE 20 27 ; MOV SI,OFFSET SIn1 [0000]E5BD: BF 00 D4 ; MOV DI,InBuf1 [0000]E5C0: 03 FD ; ADD DI,BP [0000]E5C2: B9 04 00 ; MOV CX,4 [0000]E5C5: 9B ; WAIT [0000]E5C6: F3 A5 ; REP MOVSW [0000]E5C8: A0 0D 27 ; MOV AL,SO2L1 ; output for TS13 [0000]E5CB: 0A C0 ; OR AL,AL [0000]E5CD: 78 05 ; JS Neg1L1 [0000]E5CF: E6 04 ; OUT OSRPosPort,AL [0000]E5D1: E9 02 00 ; JMP In1L1 [0000]E5D4: E6 0C ; OUT OSRNegPort,AL [0000]E5D6: E4 00 ; IN AL,ISRPort ; input from TS1 [0000]E5D8: 8A C8 ; MOV CL,AL [0000]E5DA: D1 E1 ; SHL CX,1 [0000]E5DC: 8A 2E 36 27 ; MOV CH,SilLocHi1 [0000]E5E0: 8B 1E 30 27 ; MOV BX,SI1Gain [0000]E5E4: D7 ; XLATB ; get sample, with gain [0000]E5E5: A2 20 27 ; MOV SI1L1,AL ; sample to input save area [0000]E5E8: A1 32 27 ; MOV AX,SilVal1 [0000]E5EB: 8B D9 ; MOV BX,CX [0000]E5ED: 03 07 ; ADD AX,[BX] ; add to silence reg. [0000]E5EF: A3 32 27 ; MOV SilVal1,AX [0000]E5F2: B9 0E 00 ; MOV CX,O2Delay [0000]E5F5: E2 FE ; LOOP MidL1 [0000]E5F7: A0 06 27 ; MOV AL,SO1L1 ; output for TS1 [0000]E5FA: 0A C0 ; OR AL,AL [0000]E5FC: 78 05 ; JS Neg2L1 [0000]E5FE: E6 04 ; OUT OSRPosPort,AL [0000]E600: E9 02 00 ; JMP In2L1 [0000]E603: E6 0C ; OUT OSRNegPort,AL [0000]E605: E4 00 ; IN AL,ISRPort ; Input from TS13 [0000]E607: 8A C8 ; MOV CL,AL [0000]E609: D1 E1 ; SHL CX,1 [0000]E60B: 8A 2E 37 27 ; MOV CH,SilLocHi2 [0000]E60F: 8B 1E 2E 27 ; MOV BX,SI2Gain [0000]E613: D7 ; XLATB ; get sample, with gain [0000]E614: A2 1F 27 ; MOV SI2L1,AL ; sample to input save area [0000]E617: A1 34 27 ; MOV AX,SilVal2 [0000]E61A: 8B D9 ; MOV BX,CX [0000]E61C: 03 07 ; ADD AX,[BX] ; add to silence reg. [0000]E61E: A3 34 27 ; MOV SilVal2,AX [0000]E621: BE 18 27 ; MOV SI,OFFSET SIn2 [0000]E624: BF 80 D8 ; MOV DI,InBuf2 [0000]E627: 03 FD ; ADD DI,BP [0000]E629: B9 04 00 ; MOV CX,4 [0000]E62C: 9B ; WAIT [0000]E62D: F3 A5 ; REP MOVSW [0000]E62F: A0 0E 27 ; MOV AL,SO2L2 ; output for TS13 [0000]E632: 0A C0 ; OR AL,AL [0000]E634: 78 05 ; JS Neg1L2 [0000]E636: E6 04 ; OUT OSRPosPort,AL [0000]E638: E9 02 00 ; JMP In1L2 [0000]E63B: E6 0C ; OUT OSRNegPort,AL [0000]E63D: E4 00 ; IN AL,ISRPort ; input from TS1 [0000]E63F: 8A C8 ; MOV CL,AL [0000]E641: D1 E1 ; SHL CX,1 [0000]E643: 8A 2E 36 27 ; MOV CH,SilLocHi1 [0000]E647: 8B 1E 30 27 ; MOV BX,SI1Gain [0000]E64B: D7 ; XLATB ; get sample, with gain [0000]E64C: A2 21 27 ; MOV SI1L2,AL ; sample to input save area [0000]E64F: A1 32 27 ; MOV AX,SilVal1 [0000]E652: 8B D9 ; MOV BX,CX [0000]E654: 03 07 ; ADD AX,[BX] ; add to silence reg. [0000]E656: A3 32 27 ; MOV SilVal1,AX [0000]E659: B9 0E 00 ; MOV CX,O2Delay [0000]E65C: E2 FE ; LOOP MidL2 [0000]E65E: A0 07 27 ; MOV AL,SO1L2 ; output for TS1 [0000]E661: 0A C0 ; OR AL,AL [0000]E663: 78 05 ; JS Neg2L2 [0000]E665: E6 04 ; OUT OSRPosPort,AL [0000]E667: E9 02 00 ; JMP In2L2 [0000]E66A: E6 0C ; OUT OSRNegPort,AL [0000]E66C: E4 00 ; IN AL,ISRPort ; Input from TS13 [0000]E66E: 8A C8 ; MOV CL,AL [0000]E670: D1 E1 ; SHL CX,1 [0000]E672: 8A 2E 37 27 ; MOV CH,SilLocHi2 [0000]E676: 8B 1E 2E 27 ; MOV BX,SI2Gain [0000]E67A: D7 ; XLATB ; get sample, with gain [0000]E67B: A2 18 27 ; MOV SI2L2,AL ; sample to input save area [0000]E67E: A1 34 27 ; MOV AX,SilVal2 [0000]E681: 8B D9 ; MOV BX,CX [0000]E683: 03 07 ; ADD AX,[BX] ; add to silence reg. [0000]E685: A3 34 27 ; MOV SilVal2,AX [0000]E688: F6 06 28 27 02 ; TEST ModeLow,2 [0000]E68D: 75 06 ; JNZ ModeL3 [0000]E68F: BE 80 D5 ; MOV SI,OutBuf1 [0000]E692: E9 03 00 ; JMP ShrL3 [0000]E695: BE 00 D4 ; MOV SI,InBuf1 [0000]E698: 03 F5 ; ADD SI,BP [0000]E69A: BF 00 27 ; MOV DI,OFFSET SOut1 [0000]E69D: B9 04 00 ; MOV CX,4 [0000]E6A0: 9B ; WAIT [0000]E6A1: F3 A5 ; REP MOVSW [0000]E6A3: A0 0F 27 ; MOV AL,SO2L3 ; output for TS13 [0000]E6A6: 0A C0 ; OR AL,AL [0000]E6A8: 78 05 ; JS Neg1L3 [0000]E6AA: E6 04 ; OUT OSRPosPort,AL [0000]E6AC: E9 02 00 ; JMP In1L3 [0000]E6AF: E6 0C ; OUT OSRNegPort,AL [0000]E6B1: E4 00 ; IN AL,ISRPort ; input from TS1 [0000]E6B3: 8A C8 ; MOV CL,AL [0000]E6B5: D1 E1 ; SHL CX,1 [0000]E6B7: 8A 2E 36 27 ; MOV CH,SilLocHi1 [0000]E6BB: 8B 1E 30 27 ; MOV BX,SI1Gain [0000]E6BF: D7 ; XLATB ; get sample, with gain [0000]E6C0: A2 22 27 ; MOV SI1L3,AL ; sample to input save area [0000]E6C3: A1 32 27 ; MOV AX,SilVal1 [0000]E6C6: 8B D9 ; MOV BX,CX [0000]E6C8: 03 07 ; ADD AX,[BX] ; add to silence reg. [0000]E6CA: A3 32 27 ; MOV SilVal1,AX [0000]E6CD: B9 0E 00 ; MOV CX,O2Delay [0000]E6D0: E2 FE ; LOOP MidL3 [0000]E6D2: A0 00 27 ; MOV AL,SO1L3 ; output for TS1 [0000]E6D5: 0A C0 ; OR AL,AL [0000]E6D7: 78 05 ; JS Neg2L3 [0000]E6D9: E6 04 ; OUT OSRPosPort,AL [0000]E6DB: E9 02 00 ; JMP In2L3 [0000]E6DE: E6 0C ; OUT OSRNegPort,AL [0000]E6E0: E4 00 ; IN AL,ISRPort ; Input from TS13 [0000]E6E2: 8A C8 ; MOV CL,AL [0000]E6E4: D1 E1 ; SHL CX,1 [0000]E6E6: 8A 2E 37 27 ; MOV CH,SilLocHi2 [0000]E6EA: 8B 1E 2E 27 ; MOV BX,SI2Gain [0000]E6EE: D7 ; XLATB ; get sample, with gain [0000]E6EF: A2 19 27 ; MOV SI2L3,AL ; sample to input save area [0000]E6F2: A1 34 27 ; MOV AX,SilVal2 [0000]E6F5: 8B D9 ; MOV BX,CX [0000]E6F7: 03 07 ; ADD AX,[BX] ; add to silence reg. [0000]E6F9: A3 34 27 ; MOV SilVal2,AX [0000]E6FC: F6 06 28 27 02 ; TEST ModeLow,2 [0000]E701: 75 06 ; JNZ ModeL4 [0000]E703: BE 00 D7 ; MOV SI,OutBuf2 [0000]E706: E9 03 00 ; JMP ShrL4 [0000]E709: BE 80 D8 ; MOV SI,InBuf2 [0000]E70C: 03 F5 ; ADD SI,BP [0000]E70E: BF 08 27 ; MOV DI,OFFSET SOut2 [0000]E711: B9 04 00 ; MOV CX,4 [0000]E714: 9B ; WAIT [0000]E715: F3 A5 ; REP MOVSW [0000]E717: A0 08 27 ; MOV AL,SO2L4 ; output for TS13 [0000]E71A: 0A C0 ; OR AL,AL [0000]E71C: 78 05 ; JS Neg1L4 [0000]E71E: E6 04 ; OUT OSRPosPort,AL [0000]E720: E9 02 00 ; JMP In1L4 [0000]E723: E6 0C ; OUT OSRNegPort,AL [0000]E725: E4 00 ; IN AL,ISRPort ; input from TS1 [0000]E727: 8A C8 ; MOV CL,AL [0000]E729: D1 E1 ; SHL CX,1 [0000]E72B: 8A 2E 36 27 ; MOV CH,SilLocHi1 [0000]E72F: 8B 1E 30 27 ; MOV BX,SI1Gain [0000]E733: D7 ; XLATB ; get sample, with gain [0000]E734: A2 23 27 ; MOV SI1L4,AL ; sample to input save area [0000]E737: A1 32 27 ; MOV AX,SilVal1 [0000]E73A: 8B D9 ; MOV BX,CX [0000]E73C: 03 07 ; ADD AX,[BX] ; add to silence reg. [0000]E73E: A3 32 27 ; MOV SilVal1,AX [0000]E741: B9 0E 00 ; MOV CX,O2Delay [0000]E744: E2 FE ; LOOP MidL4 [0000]E746: A0 01 27 ; MOV AL,SO1L4 ; output for TS1 [0000]E749: 0A C0 ; OR AL,AL [0000]E74B: 78 05 ; JS Neg2L4 [0000]E74D: E6 04 ; OUT OSRPosPort,AL [0000]E74F: E9 02 00 ; JMP In2L4 [0000]E752: E6 0C ; OUT OSRNegPort,AL [0000]E754: E4 00 ; IN AL,ISRPort ; Input from TS13 [0000]E756: 8A C8 ; MOV CL,AL [0000]E758: D1 E1 ; SHL CX,1 [0000]E75A: 8A 2E 37 27 ; MOV CH,SilLocHi2 [0000]E75E: 8B 1E 2E 27 ; MOV BX,SI2Gain [0000]E762: D7 ; XLATB ; get sample, with gain [0000]E763: A2 1A 27 ; MOV SI2L4,AL ; sample to input save area [0000]E766: A1 34 27 ; MOV AX,SilVal2 [0000]E769: 8B D9 ; MOV BX,CX [0000]E76B: 03 07 ; ADD AX,[BX] ; add to silence reg. [0000]E76D: A3 34 27 ; MOV SilVal2,AX [0000]E770: 33 C0 ; XOR AX,AX [0000]E772: BF 80 D5 ; MOV DI,OutBuf1 [0000]E775: 03 FD ; ADD DI,BP [0000]E777: B9 04 00 ; MOV CX,4 [0000]E77A: 9B ; WAIT [0000]E77B: F3 AB ; REP STOSW [0000]E77D: FC ; CLD [0000]E77E: A0 09 27 ; MOV AL,SO2L5 ; output for TS13 [0000]E781: 0A C0 ; OR AL,AL [0000]E783: 78 05 ; JS Neg1L5 [0000]E785: E6 04 ; OUT OSRPosPort,AL [0000]E787: E9 02 00 ; JMP In1L5 [0000]E78A: E6 0C ; OUT OSRNegPort,AL [0000]E78C: E4 00 ; IN AL,ISRPort ; input from TS1 [0000]E78E: 8A C8 ; MOV CL,AL [0000]E790: D1 E1 ; SHL CX,1 [0000]E792: 8A 2E 36 27 ; MOV CH,SilLocHi1 [0000]E796: 8B 1E 30 27 ; MOV BX,SI1Gain [0000]E79A: D7 ; XLATB ; get sample, with gain [0000]E79B: A2 24 27 ; MOV SI1L5,AL ; sample to input save area [0000]E79E: A1 32 27 ; MOV AX,SilVal1 [0000]E7A1: 8B D9 ; MOV BX,CX [0000]E7A3: 03 07 ; ADD AX,[BX] ; add to silence reg. [0000]E7A5: A3 32 27 ; MOV SilVal1,AX [0000]E7A8: B9 0E 00 ; MOV CX,O2Delay [0000]E7AB: E2 FE ; LOOP MidL5 [0000]E7AD: A0 02 27 ; MOV AL,SO1L5 ; output for TS1 [0000]E7B0: 0A C0 ; OR AL,AL [0000]E7B2: 78 05 ; JS Neg2L5 [0000]E7B4: E6 04 ; OUT OSRPosPort,AL [0000]E7B6: E9 02 00 ; JMP In2L5 [0000]E7B9: E6 0C ; OUT OSRNegPort,AL [0000]E7BB: E4 00 ; IN AL,ISRPort ; Input from TS13 [0000]E7BD: 8A C8 ; MOV CL,AL [0000]E7BF: D1 E1 ; SHL CX,1 [0000]E7C1: 8A 2E 37 27 ; MOV CH,SilLocHi2 [0000]E7C5: 8B 1E 2E 27 ; MOV BX,SI2Gain [0000]E7C9: D7 ; XLATB ; get sample, with gain [0000]E7CA: A2 1B 27 ; MOV SI2L5,AL ; sample to input save area [0000]E7CD: A1 34 27 ; MOV AX,SilVal2 [0000]E7D0: 8B D9 ; MOV BX,CX [0000]E7D2: 03 07 ; ADD AX,[BX] ; add to silence reg. [0000]E7D4: A3 34 27 ; MOV SilVal2,AX [0000]E7D7: 33 C0 ; XOR AX,AX [0000]E7D9: BF 00 D7 ; MOV DI,OutBuf2 [0000]E7DC: 03 FD ; ADD DI,BP [0000]E7DE: B9 04 00 ; MOV CX,4 [0000]E7E1: 9B ; WAIT [0000]E7E2: F3 AB ; REP STOSW [0000]E7E4: A0 0A 27 ; MOV AL,SO2L6 ; output for TS13 [0000]E7E7: 0A C0 ; OR AL,AL [0000]E7E9: 78 05 ; JS Neg1L6 [0000]E7EB: E6 04 ; OUT OSRPosPort,AL [0000]E7ED: E9 02 00 ; JMP In1L6 [0000]E7F0: E6 0C ; OUT OSRNegPort,AL [0000]E7F2: E4 00 ; IN AL,ISRPort ; input from TS1 [0000]E7F4: 8A C8 ; MOV CL,AL [0000]E7F6: D1 E1 ; SHL CX,1 [0000]E7F8: 8A 2E 36 27 ; MOV CH,SilLocHi1 [0000]E7FC: 8B 1E 30 27 ; MOV BX,SI1Gain [0000]E800: D7 ; XLATB ; get sample, with gain [0000]E801: A2 25 27 ; MOV SI1L6,AL ; sample to input save area [0000]E804: A1 32 27 ; MOV AX,SilVal1 [0000]E807: 8B D9 ; MOV BX,CX [0000]E809: 03 07 ; ADD AX,[BX] ; add to silence reg. [0000]E80B: A3 32 27 ; MOV SilVal1,AX [0000]E80E: B9 0E 00 ; MOV CX,O2Delay [0000]E811: E2 FE ; LOOP MidL6 [0000]E813: A0 03 27 ; MOV AL,SO1L6 ; output for TS1 [0000]E816: 0A C0 ; OR AL,AL [0000]E818: 78 05 ; JS Neg2L6 [0000]E81A: E6 04 ; OUT OSRPosPort,AL [0000]E81C: E9 02 00 ; JMP In2L6 [0000]E81F: E6 0C ; OUT OSRNegPort,AL [0000]E821: E4 00 ; IN AL,ISRPort ; Input from TS13 [0000]E823: 8A C8 ; MOV CL,AL [0000]E825: D1 E1 ; SHL CX,1 [0000]E827: 8A 2E 37 27 ; MOV CH,SilLocHi2 [0000]E82B: 8B 1E 2E 27 ; MOV BX,SI2Gain [0000]E82F: D7 ; XLATB ; get sample, with gain [0000]E830: A2 1C 27 ; MOV SI2L6,AL ; sample to input save area [0000]E833: A1 34 27 ; MOV AX,SilVal2 [0000]E836: 8B D9 ; MOV BX,CX [0000]E838: 03 07 ; ADD AX,[BX] ; add to silence reg. [0000]E83A: A3 34 27 ; MOV SilVal2,AX [0000]E83D: BE EE D9 ; MOV SI,ModeFlag [0000]E840: BF 2E 27 ; MOV DI,OFFSET SI2Gain [0000]E843: 9B ; WAIT [0000]E844: AD ; LODSW ; read ModeFlag [0000]E845: A3 28 27 ; MOV ModeBits,AX [0000]E848: A5 ; MOVSW ; read In2Gain [0000]E849: A5 ; MOVSW ; read In1Gain [0000]E84A: 90 ; NOP [0000]E84B: 90 ; NOP [0000]E84C: 90 ; NOP [0000]E84D: 90 ; NOP [0000]E84E: 90 ; NOP [0000]E84F: 90 ; NOP [0000]E850: 90 ; NOP [0000]E851: 90 ; NOP [0000]E852: 90 ; NOP [0000]E853: 90 ; NOP [0000]E854: 90 ; NOP [0000]E855: 90 ; NOP [0000]E856: 90 ; NOP [0000]E857: 90 ; NOP [0000]E858: 90 ; NOP [0000]E859: 90 ; NOP [0000]E85A: A0 0B 27 ; MOV AL,SO2L7 ; output for TS13 [0000]E85D: 0A C0 ; OR AL,AL [0000]E85F: 78 05 ; JS Neg1L7 [0000]E861: E6 04 ; OUT OSRPosPort,AL [0000]E863: E9 02 00 ; JMP In1L7 [0000]E866: E6 0C ; OUT OSRNegPort,AL [0000]E868: E4 00 ; IN AL,ISRPort ; input from TS1 [0000]E86A: 8A C8 ; MOV CL,AL [0000]E86C: D1 E1 ; SHL CX,1 [0000]E86E: 8A 2E 36 27 ; MOV CH,SilLocHi1 [0000]E872: 8B 1E 30 27 ; MOV BX,SI1Gain [0000]E876: D7 ; XLATB ; get sample, with gain [0000]E877: A2 26 27 ; MOV SI1L7,AL ; sample to input save area [0000]E87A: A1 32 27 ; MOV AX,SilVal1 [0000]E87D: 8B D9 ; MOV BX,CX [0000]E87F: 03 07 ; ADD AX,[BX] ; add to silence reg. [0000]E881: A3 32 27 ; MOV SilVal1,AX [0000]E884: B9 0E 00 ; MOV CX,O2Delay [0000]E887: E2 FE ; LOOP MidL7 [0000]E889: A0 04 27 ; MOV AL,SO1L7 ; output for TS1 [0000]E88C: 0A C0 ; OR AL,AL [0000]E88E: 78 05 ; JS Neg2L7 [0000]E890: E6 04 ; OUT OSRPosPort,AL [0000]E892: E9 02 00 ; JMP In2L7 [0000]E895: E6 0C ; OUT OSRNegPort,AL [0000]E897: E4 00 ; IN AL,ISRPort ; Input from TS13 [0000]E899: 8A C8 ; MOV CL,AL [0000]E89B: D1 E1 ; SHL CX,1 [0000]E89D: 8A 2E 37 27 ; MOV CH,SilLocHi2 [0000]E8A1: 8B 1E 2E 27 ; MOV BX,SI2Gain [0000]E8A5: D7 ; XLATB ; get sample, with gain [0000]E8A6: A2 1D 27 ; MOV SI2L7,AL ; sample to input save area [0000]E8A9: A1 34 27 ; MOV AX,SilVal2 [0000]E8AC: 8B D9 ; MOV BX,CX [0000]E8AE: 03 07 ; ADD AX,[BX] ; add to silence reg. [0000]E8B0: A3 34 27 ; MOV SilVal2,AX [0000]E8B3: 83 C5 08 ; ADD BP,8 [0000]E8B6: 81 FD 40 01 ; CMP BP,LastIL ; 320 [0000]E8BA: 7D 09 ; JGE AllDoneO2I2 [0000]E8BC: 81 FD A0 00 ; CMP BP,HalfIL ; 160 [0000]E8C0: 74 05 ; JE HalfDoneO2I2 [0000]E8C2: E9 6C FC ; JMP MidPktO2I2 [0000]E8C5: 33 ED ; XOR BP,BP [0000]E8C7: E9 80 FC ; JMP EndPktO2I2 [0000]E8CA: ;SEGMENT ??SEG.DEFAULT_CLASS ;C_CODE ENDS