R oddJCNFFBLOCKASELLCBSELALUFRSTK313029282726252423222120191817161514131211109876543210ALU carryALU[15]{BSEL, FF}Md *Md *Md *QTFF[4:7]FF[4:7]>>TRSHIFTER161616>>{LC}16ALUFM>>{xxx} Source of ControlTask-Specific**{LC}{BSEL}ControlBAALUAddress LogicFF[4:7]0,,FFFF,,0FF,-1-1,,FF{ASEL}{ALUF}Q16R'T'Programmer's View>>>>ALU=0ALU<0SubTaskALU rsh 1ALU lsh 1To devices16R < 0>3233IOBALUFMShCTIOA, StkPAMuxAMuxBMuxFFRF_WF_ShC_SubTaskShiftControlsRMSTKRSTK[0:3]{FF}RSTK[1:3]StkpSaved StkpRQFF[4:7]Md*T{FF}>>>>>>>>>>>>>>>>>>>ShC16168B+RBaseCnt>>>>>>>>B>Stkp>>>>>>>>>>T*MemBase*TIOA*CntOverflowCnt=0&-1oPipe0Pipe1Pipe2'Pipe3'Pipe4'ConfigDBufooFaultInfo'gh>hgLinkRWCPRegoMemCMemDIFUControlBMuxghoPointers16IOBIOAB>>>>>>>>>>ALUBrLo_BrHi_CFlags_ghMarMuxLoadMcrAB>Mar+>>>>>>>>>>>>>>>>>>>>>>>MapBuf_>>DBuf_MemX>>Store_Map_LoadMcrLoadTestSyndromeFetch_Store_Map_PreFetch_IOFetch_IOStore_MemoryIFU>>To ProcessorTo ControlMd*Fout>>>>>Fin>IFUFetch>109161681681616F/GF/G>16{FF}{FF,ASEL}{ASEL}MultiplyDivideCDivideQ lsh 1Q rsh 1{BSEL}DoradoFigure 1From devices{JCN,FF}>>>>>RdTPC_LdTPC_IM_Link_{FF}MOS_GenOut_BrkIns_>{ASEL}MemX{FF}{shift mask}Md*/0Carry'IOAtten'>>>>{FF}o>IFUTest_{FF}{FF}MemBX>FF[6:7]BR{ASEL}IdPdPipe5'PdA_IdRisIdA_IdTisIdPdId{ASEL}{FF}ProcSRN_B[12:15]IFaddr>B[3:7]MemBIFUMRH_IFUMLH_Id0 or 1by IFU256 x 16256 x 16PCX'Junk'IFUMLH'IFUMRH'BMux>D1ProgView.sil8/31/79tKDX3()$%:V $UH; F C A ?W = : 8 6t 4; 2 / - +W ) & $ "s  :      r 9    V   G $Et $C; $A $> $< $:W $8 $5 $3 $1s $/: $- $* $( $&W $$ $! $ $s $: $ $ $V $ $ $ $ $ r $ $9 $T dZJ GVf #H6tG)e4<$#H3#H4d\$%:`;t\$7\_$7\_$]Xd_U$\$d\y$]5$]$ |[/ Y#tBf#H823$9$=f=f =f; ;u&W 87$9t8eJ|J= $U $U: $U! $U( $U*+$< $UI $L $ yK$NX $UK$Jtt L =f?<@9$=f@=fE=fD r3Br$r3er1r$r1r/r$r01$/$0 1G$1 $u3e107$+W_$-b5$*:te 2@r$u2I1,rpIKV$sH$UH_y$H_$yGBV$sD$UCy$C$yrEt VJ $ VE$r VE$ G$R$VO-$tS A$sJ$E$sE$B$?$U?{$?{$yA$"sBB$-]$r(+W$ V(E$'sJ-> @-@ 9$V@9$ =$V=]$V=$ ?{$ V>$ VA&$B 7=f$U5+zr$#7$H_$E$#Gf#D#E#I)A G$(H$*HIK$|FYA rD rCK'sE='sC'sA's@='s>'s4K's2's0's/J's-'s+'s*J's(%'<(AI$1 $.$ 3U$ /$r /^y$ /^$ p0r1,$t1=fC$.$>^9$^.@ GX +>dpP]%:`$*:|[/*:\+\L=vrB$9B@=BABC=ft8e 7G6tS|U$7Q7PJ7LD7I$9:W$+:4G$>^H$+W;P9$5&$3$$3$$+|Lv+Lv0WpO7tN:&$-p;t-5's|:(=f$7tS>;^Q$A[$U>;Z$>;Z$y?W\<5W|K2$2]$2$2$dd$utt,,X,,,,,,X1s??7X777XHHtV|sd$"stdr$sd$,ur%| J/: JuV::V::@tY$GfM$ 9@tM$@tM$ ]ASARAQAP AOtANXApW7_'$\d$T$T$2 WW$^ $.|T*RL2_9$9_J$/uZJ /W H;tUH;Qf=|W=ZLQ/LOM;M;-tV?W|S!AuU.|W+WtXX+WZ*:ZJ$*W$JR$*BBd$,sA*-d$,,-I?W($d@-(?W#$@-$eKSXKJP$KQfJTt$KJUGfTdGGfSGH;SXGfQGXG:X!\G9!^ G$Z GG$X GG$U GG r,9GGrG:Gr:9G0GG-dGC;GH*GF+GFrG)rGs|U=V$d=tV%: :G%:#G0W :G/:#G#6,G$T$ rMG ,G,s, &9$GuZJGY.GXGVGU9t-#r#9;ub DXtVI|JIIIJDE-$EtE-$EEsEE-$E-O$E-$AVG23,32]$37I|:( +G&t<uBt5@4 =f:8e5<+W6tN{$6tLd$6tP&d$6tQd$<|N!<LvPanelWiringPanelWiringFive 240 CFM (free air) fans estimated to produce375 CFM airflow in the enclosure.Estimated temperature rise of the exiting air is about8 degrees C.Power consumption on each logic board averages+5 V x 70 A+12 V x 25 Aabout 85 watts, on each storage board about 55watts.On each board -5 V power is distributed byfingers reaching across the board on the topside from the back, -2 V power by fingersreaching across the board on the bottom fromthe back. +5 V and +12 V reach across the boardfrom the front on top and bottom. The powerfingers on the bottom feed through to thecomponent side on the top.1600SIP'sThe 11 logic boards in production models will be multiwire;2 to 8 storage boards and the two backpanels areprinted circuits.The +5 V supply is used for TTL/ECL conversions andfor TTL components. The MOS IC's on the memory storageboards and in the Map use the +12 V supply.D1CardCage.silDispM (Display controller)Figure 2Card Cage9/14/81SideSideEach board can mount 24 x 12 or 288 16-pin DIPs.Normal MECL-10000 DIP's are connected to the groundplane and -5V supply. Logic nets are terminatedthrough 100-ohm resistors at one or both ends to the-2V supply. The resistors are in low-profile SIPs thatmount between the DIPs (144 8-pin SIPs per board).ContB (microstore)ContA (branching and tasking)+ 5W)%:t.e&1 $(0$&/@$&/$@&O$(N$&N{@$&N{$@H;O$IXN$H;N{@$H;N{$@H;1 $IX0$H;/@$H;/$@%:OGOF.e.O $4M$.M_@$.M_$9O $@tM$9M_$9M_$0O-<O-/:|I!0I!2I!:I!;I!IO $I0z$IJI-Y tbIH$I|EIXtKJI|DI1I0JtA$9Jt5$ IXt?IX2I's0W!zG's0WG'sN!GH0WG f< bXG `gG dJG ^uG \G ZG XG VG TG RG dPrG dNrG LG IG GG E-G dC;rG dAIrG d?WrG =fG ;tG 7G 5WH Vd Vb V` V_ V[ VY.! VW< VSX VUJ VI VM VOt VK VA V? V= V8 d9G VQf -8 -Idn$!d$!` $9!|]!aLf$953$tO-VP$V5W$H|bh2-l$t0V2$UV-$|*J0p2 t0 /: dKrGu6t5Wb|U$ VtC VE VG$egH$dJH$c.H$aE$`gH$_JF$^.C$]G$[fK$ZJE$Y.$$WF$VfD$UJK$T-$R+ r r rd rH r+ r  d H + 0 ru,,tdssd7,,:, $'s+$9H+$9's,$'s|(G(IX49$JtLf$JtI$9#t?#>Et?Et>(H$)H$*H$+H$-H$.H$/:H$0WH$1sH$2H$3H$4H$5H$7H$8H$9;H$:WH$;tH$H$?H$AH$BH$C;H$DXH$EtH$FH$GH$G4$F4$D4$C4$B4$A4$@t4$?W4$>;4$=4$<4$:4$94$84$74$6t4$5W4$4;4$34$24$04$/4$.4$-4$,s4$+W4$*:4$)4$(4$)J1)I!/K$1sK$3K$;tK$=K$>K$)Gf6)FI )D.:0 :/: )C.)Bf)@*)?,)>))=f,)SubTaskALU carryALU[15]FF[4:7]ALUFM8Task-Specific**ControlAddress Logic{ALUF}Q16Hardware ViewRSTK[0:3]oQAlua8X2XConstantFF0/-1AlubALUTIOA&StkPAluaAluaAlub16FFFF[5:7]2FF[6:7]IFUMemBAlubShifter16{ASEL}(FF}{BSEL}{FF}IOBALU lsh 1ALU rsh 1ALUFM{FF}{Shift mask}{LC}{LC}RF_ShC_WF_Cnt_BCnt_SCMemBX_SCMemBase_SCIFUJumpIFUJumpRBase_SCRSTK[1:3]StkpAlubShCo{FF}Md*RinTinMd*FF[4:7]16>>RTRTShCRTRT>>>>>>>>>dMd*dMd*AlubMd*>>>>>>>>>>>>>>>>>>>>CntStkpRBase>>>>>>>>>>>>MemBXMemRMSTKTIOA*A_SCB_const+>>>>>>>>16T*Base*FF[3:7]dMd*>>>Shift controlsMd*SHASHB{BSEL}{FF}Cnt'Pointers'RinTinT>>>>>>>>>>{FF}gh>>>>>>TQRQhgoProcessor16{BSEL}{Shift mask}MultiplyDivideCDivideQ lsh 1Q rsh 1A_Md1616Figure 35>To memory>16To memoryIOBIOABMux16>SubTaskTo/from Memory, Control, and IFU8>>denotes multiplexor latch>>denotes multiplexor>denotes register or memory>>odenotes multiplexor with inverted outputshgooQRTIdRisIdA_IdTisIdA_IdIddPddPddPd>>>>FF[4:7]2{FF}>B[12:15]RBase_BPointers_B>B[3:7]Pointers_BMemBase_BCarry20XorCarryXorSavedCarry0 or 1Mar'256 x 16256 x 16D1Processor.sil8/31/79Bfu" |* rtN*: 05W?$Y.Y $:Y$8$8$8$9<%$QC$3?$U3C$3Cr$3?r$3AE-$DX$e$ p9"$N9"$9&r$VP7?$U3t@tO-$9O$O$$O$S|$+L$M M_$M_ $M$O $9I{$3C;/^U$r,,$U, y$, $y9p--I$:t-!Vr =r$L$I$H$H$H_$D$D{$D{$T 9$VO-$tT- Br$>$r>^$>^$F$F$J$K&$F$rF$+WG$9)C9$*:E $+WC$9+WD+WK$&Lf/=(?*As4^r$s2r$+W3e$9s342/:3>r$79$39^$3p;9;tFI 7<%V$9b5r$bX9`r$9^r$`_b|$^$^$^$:` $V``$b5$bX1%7#$7$$8$$/_$0W`g.e`C$#`g/:bZ(u=DX?$Dt@-)O-*:PJ)1*:09;?9;A&$9;AI 9;B$9;B 9;D{$9;D<:{U$?9$ ?W7=6 D 7I8edn$=_$8e_n$8e_n$$ `r$ubX_`ZY. X$ ^u)c )bQ$P&$ Q+$QfO9BBr$t? >$@@d$VA$ G>$UV>^$V>^$y A&r$Bf/:=$ /:=$)AI$/::{$D$A$@P$#EP$#Am$&LBr$9;F&$9;?{$9;=$9;=7I1$7I3e6,0&Hr$&9^r$&7r$ :5W H ;-HQHPJZJ?@ $1$ |W UZ :tF :D :B :@tFm$#C$#?W$ ]$p_&t9&7&J&I ?$r*:|J=*:H*:F*:E=*:5*:4*:2Y*:0"s/tGf: d- 3e2|62: >6>8u>: >;>=v>? >@>Bv6,\6,^>6,_"sB"sA"s>K"s<0/e53+$"s1pYu@-Qf5| J5!6,/6,0:0 7I3r$8e5{U$:1 ^ \ [/\^(_n@$#H`CG$'s\)p_9bKF:W$e;t""suT!V1,p?W G@ d$ |9<9;= C E N! Lv:$Vt;-p:9`:_2Itc93e |6< 8u /Vuc8et5$eEt$eA] \1,41,2 2Ic$7Ia$39^r$ =G$ 8$ 8k$ 8$ ; +$ JG$ FI$r F&k$ F&$ H_$#RG$#O-$#O k$#O $Hd$,G$Gk$G$,Id$K#LG$#H$#Hk$#H$#J$; $=G$,:$9k$9$,;d$:W#:$%::|7Y9;6G$<2$;2k$;2$"s8.E=cQG$_$_nk$_n$a`$KmG$FP&$Q$"sF"sG"sLv"sN!:4$"s6+D{U$ tV 4G$ 2$ 1k$ 1$2 3B$(a$(_$._$3a$#<G$#9;$#9k$#9$:|:2 $U2 V$FFI!))X) )!&t",&#+$&%^+$+e$9&"r$& ]r$r-$&6 r$&6,#4^$#6PG$#2$#2lk$#2l$& &#&%$+"dG,sVG'N$, G.e|.e0Wp :0 $"rV Dt DX :$< GG:0W81s 3G99rG9GrGVJ-GHu'H&H%H$eH#H"sS rMG.Gd$0WtGf..$0W./:aG4;egG:dG"r =aG?a<$dAtbE|^>Bu_ F|R5UGd6tVBuTt AIp AI$,sdG?VC$DtVE|<4$<4$4te.u B$rtBVz$Vzk$$V3G$9|9$r$ dtH9|9V$V$r$Ar$ tH $,$$$$| tH9| 9 tVtV+$r$$r$VA$ )$9$>;"$+8(l$:|%J:%J=(l9$?W$$>;$G'T $'Um$'W$'Y $+WV$+WSX$+WtX+WTt-VfHG+XXG-UG+TG(HU(HY.(HWsJtuFEt8e7It<H<GA@->>>>>>>>>>>>>between R and T. When shift control istaken from ShC, ShC[2] = 1 selects T for SHAand ShC[3] = 1 selects T for SHB. When the>>The 32-bit quantity SHA..SHB is then left-shiftedshift 4, and shift 2 controls.through an 8-in multiplexor controlled by the shift 8,shift is FF-controlled, SHA and SHB are takenfrom BSEL as shown in the table below.BSEL.0=1BSEL.1BSEL.2when BSEL.0 is 1, and thesource for B is changed to Q.RF_ and WF_ are intended for use with "reasonable" values of P and S.undefinedPdthe Pd multiplexor when shifting. One ofexcept when BSEL.0 is 1 inD1Shifter.silFigure 4Shifter9/5/79stZJ 0WP0WR 0WK 9;S|$:R_$$VX p=)tY+[f$)Gf0WJ-0WT #Y.#PJ0WN)X:W|PZ:WPZ*:pS0WK$0WM$0WR_$7|P7P/:T$"sY @$#P&$(M_$(M_$/:M$ ([C$ :Vv :Vv7J=7J=W$Z& r$)tO#H5",.)FI(a`$/:^.$U(^ $(^ $y)p_0t`.0_+0^$0b,2C(2AI%2@-(2?'2=!2<\_U$ZU$[C$ [fWU$VCU$W_$ W9[C$9Z$9Z&$9Y$9Y $9X|$9W$9W_$VVf$Z$:X$U:YVY$TSRVB6A*Y$UJ$O-/4s44$5+W4346 ($$33B($91 11$1+1311($#030/^($#-*:-4--%($s++$++W+3+V0V-V+*($*^($3($$(+W((%($3(9;1$:W+$9;*@$:W.A$;t.9;*^$:W(H$99;(%@$;t(r1@$r+$r*$ .A$.e9-I;t, D@:Vp_ $t_ \ZXVf=R$=T $=U&$=VC$=W_$=X|$=Y$=Z$?Q$ 9;L$9L$9R_$?Vr$s-s00-|XWT=R'sUZ'sLv>O=>PZ>Qv>R>S>T>U>WtN'L,K+|VveT=tGf1E-FI6J-I&9((s((H$ (H$ V(H$ !(H$ )(H$ 0(H$ 8(H$ :W)A$;t';t&E*:0 AV2Bf);t-=u+ #r#r>uH_`TNIACIALinkJCNFFIFUAdQ[14]MIRTPCITPCOBNPCCIACIAIncLinkBLinkBMuxIMFFReadyBNTWakeupNextCTaskCTDTLinkAd>>>>rrCPStrbStartCycleStartCycleStartCycleSwitchT0T0T0T2T0SwitchT0T1T0TPCBypassPh3%4PErT0T2T3T2RSTK[2:3]rCBrRIMIMOutTPCAdT0T1T2T3T4T4T3T2T1T0T0T1T2T3T4T4T3T2T1T0T0T1T2T3T4T4T3T2T1T0T0T1T2T3T4CPRegUseCPRegRAPEncTLink*TPC*+1rrProcessorControlTPIMOutWriteT3WriteT3D1Control.silFigure 5Control Section9/5/796ttWAI^.=f^.:^.7I^.3^./:^.0[f$94;[f$97[f$9:[f$9>;[f$9A[f$98ed7aU$6tS7T-$6PJ-7Qr$<Gf$ 8eC9@$97VC$>;@$<9$;P8e:{0<7%$C7%$*3.9$9,$96",7 V$D $BB.M_ $.&$'s*:&$90+WsG."s $+,$9*,$9),$9(,$9.d<;U$A^.[f$9a'$$WrP& $yLrN$HWTtG :O 9$FIB$AV;-4/:$92$,s#H$7#H$*:*$9<>$99E-$9:_$97_$9>;_$UA_$9_$9X$rS$V6,$97Y.$9 V[Gs9$9$$M9$[C$]]|$@$@$J $K$G$9r[C$] 9]|]$ 9K$ 9K9$ 98e$r0z| 5  5 2;?D:FFJOQvQvUZVX!X! X!\0WX!3X!7X!:WX!=X!AX!A\=\:W\7\8b7U7P7M7H7D ;tD ==v9;=v;t;=;t6<;t.u;t"9;)u4)u2"7"7 71sX1st+t++ .")")'<()u))u*:)u+W)u.;.. WLvJ=&WKZ4KZ0)A$4%>G>$"s$-:;9s Q rI9AL W3S3J-4@-88e805Wd0WF %", T-7er$;td$7d$7d$@rW_$@9Mr$L$9L$9L$@Br$VA$A$A$@:<%r$;-$:; $:; $@)#%$.",$)"$$)"$@*:zr$.$*:]$*:]$@/zr$4;$/]$/]$@5W#%r$9",$5W"$5W"$@91r$>;0$90z$90z$@99^r$>;8e$98B$98B$@5WK&r$9J-$5WJ $5WJ $@5WN{$@5WT r$9S$5WR$5WR$@W$rX|r$:T$T$rJ-$7|'</: $9# y"s$6tGG8@tG9N$7KJ$U7Gf$?$s8e$2% G9.9$4;,sG,se$7e$2$5WOr$9;b$9;e$+W VG#.V$)%G 8rG,s$.9$0W$9.]$.$]-$0z$-$-$/:$97&$5W)r$9($5W($5W($5WN{$rW_$ :LGr@$uW5)$k5*$5*:$G:W)A$@5*^$5O$k5Or$:N$@9Nk$5O$k5P&$:WO $@:O k$7P&$7*^$]VIG;-4:W",$,$+9(k$:($@:)Ak$'s,sG0$?W$*-[C$]-|X!,t^.,s[:GAa9$>;c $W_$&u%(t((N+O $r   V  :   :   V :   # ( 0 ,s ( #  (,s05W9B>;95W09d>;dBdGdKd y$ G y$ y$+ y$+ ]$ ]$ ]$ @$ @$ ]$ @$ $$$ $$$ @$)e $$)e $- $- $$2I $2I$6$6 $;-$;-$?$?$D$H$F $[# $!V*%u+;tt<VQf6 (6tNV0$<&$ ;-30)e$"s1 $<5$;t|2|,sz$+X % $t, :H9;h $sW$W$X|r$5W,$93&$ *^",<1$8uM;8L8's8&W@t $rr"AurH_g110001000BRANCH CONDITIONALU = 0ALU < 0FUNCTIONSubroutine ReturnunusedRead TPCWriteTPCunusedunusedGlobal CallLong Jump/CallR is resultTNIA:01234567JCNADDRESS BITSADDRESS BITSADDRESS BITS01111Return001111BRANCHCONDITIONADDRESS BITSRETURNFUNCTIONNUMBERNEXTRead Instruction MemoryWrite Instruction MemoryIFU JumpLocal Jump/CallNext Address FormationJCN[5:7]0123456JCN[2:4]0123456Conditional BranchReturnRSTK[2:3]0123RSTK.0RSTK.1RSTK.2RSTK.3ALUF.0ALUF.1ALUF.2ALUF.3BSEL.0Par.16BSEL.1BSEL.2LC.0LC.1LC.2ASEL.0ASEL.1ASEL.2BLOCKFF.0FF.1FF.2FF.3FF.4FF.5FF.6FF.7Par.17JCN.0JCN.1JCN.2JCN.3JCN.4JCN.5JCN.6JCN.77when B_Link executed infollowing microinstruction.ConditionalJump/Callotherwise, it is a jump.before any modification of TNIA by branchA long, local, or conditional branch is a call iff,write the right half.Good (odd) parity is writtenif RSTK.1 is 0, else bad (even)parity is written.The most significant bit ofdata is RSTK.2 and the leastsignificant 16 bits are B[0:15].JCN.7JCN.6JCN.5JCN.4JCN.3JCN.2JCN.1JCN.0FF.0FF.1FF.2FF.3FF.4FF.5FF.6FF.7BLOCKRSTK.0RSTK.1RSTK.2RSTK.3ALUF.0ALUF.1ALUF.2ALUF.3BSEL.0BSEL.1BSEL.2LC.0LC.1LC.2ASEL.0ASEL.1ASEL.2RSTK.2B.0B.1B.2B.3B.4B.5B.6B.7B.8B.9B.10B.11B.12B.13B.14B.15Data appears on B[7:15]Cnt=0&-1 (decrement Cnt after testing)R < 0R odd-or-FF6061626364656667--Address is in Link.Address is in Link.Figure 6Carry'IOAtten' (non-emulator) -or- Reschedule (emulator)RSTK.3 is 1 to writethe left half of IM, 0 to0001x111undefined234567891011121314151514131211109876543223456789101112131415151413121110987654322345678910111213141515141312111098765432CIA[2:3]CIA[2:3]CIA[2:3]Link[2:15]CIA[2:9]JCN[2:7]JCN[2:7]000000FF[0:7]JCN[4:7]CIA[2:9]RJCN[3:4]0JCN[1:2]JCN[3:4]InstrAddr[4:13]15141312111098765432CIA[10:15] + 1CIA[2:9]Loaded into Link by Call, Return, or IFUJumpconditions or dispatches, TNIA[12:15] is 0;# 000xD1Branching.sil# 1116/26/80Overflow_ZUP_ZUVU Uu=ft;:u.rt-Ir,,r(r'r+r)pZ UFuPJ *pabXbXVbX bX bXbX9bXrbXaG d_ Z uUJ pKKK9KrKKFFVFrF9FFuPJO- PJ VKVJ FI Gfrt&rpF_rVu=f t; : 9 8e 7I 6, 5Vu. t-I ,, + ) ( ' &u?1,', :t$ :", :e :'s#$+#$0W#$4#$9;#$=#$B#$F#$#&z($K$e$9#$A(%$#$A$]#$($,s$0$5W$9$>;$B$G$#!z$]#!z(%$K!$9##($F!$B!$=!$9;!$4!$0W!$+!$'s!$'sH$+H$0WH$4H$9;H$=H$BH$FH$# ($K$9#(%$#$]#$]#(%$K$9#%($F$B$=$9;$4$0W$#",(",,s",1s",5",:W",>;",B",G",#e(e-e1se5e:We>eC;eH;e#(-1s5:W>C;G ! pP N *t?*A)*B3!H!+!5H5+5  $  $ $ $s $ $!V $% $9 $]Jt F A = 8 4; / * . $3 $7 $< $@t $D $IX $M $9*: $9$K$9 K$9K$9$K$*:$M$9IX$D$@t$<$7$3$.$9$]%$!V$$s$$$ $$  9     "s &  9 s!&W*/:4;8=AEtI 9#'s+0W4;8=AFJt#'s$+$8e&7I6, u=f9=f9t;9:9998e97I96,9593 r3$d$rt952H+pBBB B VBB9BrBB %:`$DX^$9%:^A$%:^$]'s^$+^$.^$0W^$2^$4^$DX^$B^$?^$=^$;t^$9;^$&Wt](]*]-]/:]1s]3]5]7]9]<]>;]@t]B]BX@tX>;X<X9X7X5X3X1sX/:X-X*X(X&WX9;Y$;tY$=Y$?Y$BY$DXY$4Y$2Y$0WY$.Y$+Y$'sY$%:Y$]%:YA$DXY$9%:[$%:V$DXT$9%:TA$%:T$]'sT$+T$.T$0WT$2T$4T$DXT$BT$?T$=T$9;T$7T$&WS(S*S-S/:S1sS3S5S7S9S<S>;S@tSBSBN@tN>;N<N9N7N5N3N1sN/:N-N*N(N&WN9;PJ$?PJ$DXPJ$4PJ$2PJ$0WPJ$.PJ$+PJ$'sPJ$%:P&$]%:P&A$DXPJ$9%:R_$%:M_$DXKJ$9%:K&A$%:K&$]'sKJ$)KJ$+KJ$.KJ$0WKJ$2KJ$4KJ$DXKJ$BKJ$?KJ$=KJ$;tKJ$9;KJ$7KJ$&WI(I*I-I/:I1sI3I5I7I9I<I>;I@tIBIBE-@tE->;E-<E-9E-7E-5E-3E-1sE-/:E--E-*E-(E-&WE-7F$9;F$;tF$=F$BF$DXF$4F$2F$0WF$.F$+F$'sF$%:F$]%:FA$DXF$9%:H$)Y$9)T$9)F$9%ZJ%UJ%Gf2IK )^$7^$9,s_J;t_J7Y$9.ZJ7ZJ:ZJZJ@ZJBZJ;tT$90UJ=fUJ)PJ$7PJ$9-P;tP&9$BPJ$9;tPJ$9=PJ$9BP=P+$@+$B+$E-+$5+$3e+$1,+$.+$,+$*+$(H+$&+$]&+A$E-+$9&-$7+$97+$:,s,,s&p.,*t@+9uO-9N:9t3X99Kc. %t2t1dt1ct1bt1at1t0t2t1dt1ct1bt1at1t0t4t3t2t1t0t4t3t2t1t0Phase 0Phase 0Phase 4Phase 3Phase 2Phase 1Phase 0Phase 4Phase 3Phase 2Phase 1Phase 0CTD_CTASKWRITE TLINKMIR LoadedqqqqMIR LoadedCTD_CTASKCTD_CTASKMIR LoadedMIR LoadedMIR LoadedqqqReturn:qMIR LoadedMIR LoadedqqMIR LoadedMIR LoadedqqqqqqqNormal:StartCyclePhase 0StartCycleStartCyclePhase 0StartCycleCIAInc_CIA+1CTD_CTASKCIAInc_CIA+1StartCycleLINK_CIAIncStartCycleCIAInc_CIA+1LINK_CIAIncLINK_CIAIncCTD_CTASKLink clobbered.LINK_CIAIncCIAInc_CIA+1StartCycleqqMIR LoadedqqqMIR LoadedWRITE TLINKCTD_CTASKPhase 0Phase 1Phase 2Phase 3Phase 4t0t1t1at1bt1ct1dt2CTD_BMux[12:15]qt2t1dt1ct1bt1at1t0Phase 4Phase 3Phase 2Phase 1Phase 0CTD_CTASKWRITE TLINKMIR LoadedqqqMIR LoadedqqStartCycleCIAInc_CIA+1LINK_CIAIncTPCI_TNIA (Link)Write TPCClear TLinkXqqRSTK[1]=0->odd1->evenparityTPIMO_IMTPIM Mux _ IMTPIM Mux _ TPCRSTK[3]=0->right half1->left halfD1InstTiming.silFigure 7Instruction Timing10/8/79Read RMALU operaionWrite RM<><><>Calculate next address<>Fetch next instructiont-1t-2qMIR LoadedFetch Instructionq<>Write IM:Read IM:Read TPC:Write TPC:B[12:15] = address (task number). Data from Link. Link clobberedB[12:15] = address (task number). Data to BLink. Data available on B next cycle ONLYLink = address, RSTK[2:3] = 9-bit byte, data to BLink. Data available on B next cycle ONLY. Link clobbered.Link = address, RSTK[2],,B[0:15] = data,Fetch next instructionLink _ CIAIncWrite TLinkFetch next instructionWrite strobeFetch next instructionFetch next instructionFetch next instructionLink_CIAIncTPIMO_TPCWrite TLinkLink_CIAIncCTD_CTASKCTD_CTASKModify address by b.c.Link_CIAIncCTD_B[12:15]?t575.5%:5V5r5 5?E-7E-.E-%:E-VE-rE- E-.UJ%:UJVUJrUJ UJ?c.7c..c.%:c.Vc.`R@$R $ S/C&CCC C/3&333 3.1$.,,$U..A$B,, @t1,$9@t.$@t.e$IX.e$A. B+ @t+z$?|)u@t+$9?/3;t$@t3$?>@t;-$9?9@t; $Bt: IX=$@t=$@t>^$@t@$9B; @tC$C_?W$KJ `$%`$.`$7`$@t`$X 0X BX 7]$7^d$#^$^$%^.$@tY $@tY $]?|W.WY $VWY.$9.Y.$9.Y $.S$%S$S$S$ S$R5W$ C$C$C$%C$.C$7C$73$.3$%3$3$3$ 3$rpUPJ$9PJ$9M$M$%M$ N$9 |K M$ VtM V; <%$ |:  r/ :@-$ :@ $+@ $.;=.=f$9.=$9WIX$9IIX$9:4IX$(lIX$ K$93IX$9WIX$9J IX$9:{IX$9(IX$9zIX$./%, $%,$ KJ$rpb&t` 0W`8` S S&S VO- 0Y @t]$V? 8C -; 83 V0 +/ ?W0 BY ?Gf?WH V 8", .|<r<$ Vtd $ | $9 ",$",$",$%",$.",$7",$@t",$";t$?<@t$9?@t$BtH A, IX$@t$@t$@te$9Bd .z$.$ ",",",&",/", #r#V#%:#.#7#?#!V.]$7$7|<7$7 d$. @$?Wt+6t+-+$+++ +/:&Ws 9. $B ? $? +$rH +$A B ?@$?W|;?d$9?W V];t$?$7$.$%:$V$r$ $ G$9  $$ t rH$| - 8t + >;+ *:+.$r1s d 0 $| %:H$%$%:<.$r.H$?H$2tGf8G8F:WE+0%+ /:#Gf)eFG98eFG9)G )F <u#rr =ut^.+W^. 9^.|Z$Z%Z%^G$2^r$6tZ>^$7Z?WZt\\$,s\9$|X-Xt[f`$ `$ r`9;$rc. c. Y.$9 |W Y $ VtX [$.[$9 [$+^.r|Y ^$s^r$\$d ZZrpG r7r%  tB %V 7m 9Gf( 9KO- N /="s@- /.e// ++ 6t A> ?W? Y VY [*:  !+ h9IbXMemBase *Md *16K x 16Time from0Time fromAddressingCache dataMain storage16<1582Ad><<0WD$VCO$DtOt5N$/F&$4S$/U&$3>^$4;/$+=$'s=r$(% $ Ve$ V ]$ d* +3$<=-I_$!V$y$"sH_$;->^$+W>^$_$"s_$)1$#GB$+W/-|+ rt $$r$ H$]$ |; 8eO's5 's: $u!V'<9&Wu(.u/@t9t.u.u"sAY :AY"sH#Km$#D{$!H$ !tb!|]V1$V3B$ $$(tVV $D{r$r]]$$53 y$($"V$$%y$( -%$$%$&"$5 !$!>$ r rs V,- b!J-)e ! *$9*$9! )er& : :;V&%:(.e's8k$;8$=?{$:A/@-*?{$5W?{$/:ABA$UAI?{$]AI?{y$D?$9AIAU$;D$9?{$9?{$9Cr$A@-:@-^ $'s5$5{ $5{$  D$GB$!J $$J-$d!Km$ rH$r $ ]$+$ 9$$ e$9A$$U@$$y9!z$ !$9, $y9, @$ V,,$U9/^$ /$ 0z$1$/:1r$]5t>;.&$&z$1s0$-0z$.>^$0>^$*?{ $-|,"&W)A$'st('s<%]$'s:{ $$'s|6's8ut_J#_#G"s-/^d$Gf/^$)>Y $>V$9=|S!V4^$"st3+W"$*|u*)A$+t($r$*^V$%:* ]$! G$9*: G$9 G$9|tst!Vt%t)ttV9;]$8_$:W_J7[$9!V&V 9$e4=f2I>2I=f/:>/:=f,s>,s=f4>s63Q:QfK\JCJt4;I&F@?W  +  +3Iu,sC,sCtHIXH1sE3M1sTu` dGsbGbG(bG! $0t[ HudJ !J $B B9#r+&l9KdFigure 945678910111213141516171819202122232425262728293031VAxxxStored in address section<>RowWord inMunch>><<4k-wordCache16k-wordCacheRowMunchWord inx>>256-wordPage1k-wordPagexxxxxxxxC2C3C4C5C6C7C8R2R3R4R5R6R7R8Word in pageC1R1C0R0xxxxxxxxxxxxWord in pageCache AddressingC6C5C4C3C2R8R7R6R5R4R3R2Cache, Map, and Storage AddressingC1R1C7C8R0C0C8C7R1C1R2R3R4R5R6R7R8C2C3C4Word in pagexxxxxxxxxxPage4k-wordxx<><><>Rx = rowCx = column><>Map Addressing101112131415RPRPRPRPRPRP24252627VAVAVAVA00010203040506070809RPRPRPRPRPRPRPRPRPRPReal page from Map>;a$<a$9a$a$+a$da$a$%a$#Ha$!a$a$ a$a$9a$ra$ a$Va$a$rtbb +b db bbbbbsbb,bb!b#b&b(Hb*b,b.b0b3b5Wb7b:WbbAbE-pa]|?$.e]$7I]$5]$2]$0]$@t]$>;]$<]$V]$]$]$99]$9rt^.^. +^.)]$']$]$+]$d]$]$%]$#H]$!]$]$ ]$]$9]$r]$ ]$9,,]$9^ _' +$ |[v#_' +$+[v1t^;Y$<Y$ Y$Y$9Z&|W[C +$Wd[C +$tZ'[C$1s[CV$9[C9$@t[C9$0Y$'|W9W8WAW'E$)E$0E$9E$E$+E$E$%E$#HE$E$rE$E$BE$E?$EtpOEtNN4?$BNX$NX$NX$rNX$#HNX$%NX$NX$dNX$+NX$NX$<NX$>;NX$@tNX$2NX$5NX$7INX$.eNX$,,NX$)NX$'NX$DGE-Et1stN3N5N8N:N;$ >;$ G>;$>;$>;$?????,?e?!>;$9>;$d>;$!?#?&?3;t -I>AI>?><>:>8e>5>3>1s>/:>E-p=>?$B>;$>;$r>;$>;$#H>;$%>;$>;$+>;$>;$9>;$<>;$>;>;$@t>;$0>;$2>;$5>;$7I>;$.e>;$)>;$E-?,,>;$'>;$(t>+>9L$9L $9|I!/I! Km 9$ G/GJ +$FY/FY4;tL4;K +|? ? B+$C$+@@D4 9$dt?+?;2@29;3;3>;3@3922:22:22 :2"2%:2'23:33:3393 :3"3%:3'36,6 $)6H$7I|2d2:t/:/:9;/:;/:>;/:@/:E-p2E-4;4;t/:6/:1/:/:/:,/:*:/:%:/:'/:"/: :/: :-"-'-%:-*:-,-/:-1-6-4;-@->;-;-9;-9;,s;,s>;,s@,s4;,s6,s1,s/:,s,,s*:,s%:,s',s",s :,s9/:/::/:/:s--,sr,s9--:--,s:,s,ss,sV0 d/: d- ,s +2$2$+2$2$!2$&2$)e2$+2$02$3e2$52$:2$=f2$?2$Dp'sDXD)DXsI$+0I$+5tI K$,,Bf$Bf$<$'<$e2$d1$$e1$.e1$8e&$.e&$$e&$d&$d&$e&$?&$=f&$:&$5&$0&$+&$)e&$&&$!&$&$+&$&$+&$!V "$U% !V:!V:!V!V" "!V9!V:""$$$ $'!V%:!V*:!V,!V4;!V6!V@!V>;!V;!V9;!V9;";">;"@"6"4;","*:"%:"'"'$%:$*:$,$4;$6$@$>;$;$9;$$:$"( :((:( 9((9((:(("'s :'s's:'s's:'s's9's's 9's@(>;(;(9;(@'s>;'s;'s9;'s1(/:(,(*:('(%:(1's/:'s,'s*:'s''s%:'s 'O6$ &$4;(6(4;'s6's1!V/:!V/:"1"1$/:$.eE$2E$5E$7IE$<E$>;E$@tE$LfKI!J $#Km $&L $CBfd<D4 $,B$R;Q$@tQ$0Q$2Q$5Q$7IQ$.eQ$,,Q$)Q$'Q$Q$Q?4$BQ$TQ?$d5{2$d2$Bf2$ *^6$ 's$Bf's$<$$B$d5$8e5$8e2$3e&$.:$AuG A+d+L_BusyRefMapTestSyndrome is xor'ed with the checkbits on storage writes>VA[4:15]EmuProcSRN[0:3]<><><>B_Pipe5(B_PRef)Trouble'MemError'ECFault'Bit in word<>Word code'>dVA_VicFDMissUseMcrVDisHoldReportSE'NoWakedVA_Vic = put contents of cache address memory addressed by row of last reference and columnof Victim into Pipe0 and Pipe1>1514131211109876543210VA[16:31]<1514131211109876543210<>>><<1514131211109876543210Real page no. (RP)<>15141312111098765432101514131211109876543210WP15141312111098765432101514131211109876543210--------------WP------1514131211109876543210LoadTestSyndrome1514131211109876543210LoadMcr--1514131211109876543210Emu><<>MapTroubleMemErrorEcFaulttruefalsexPage faulttruetruexMap parity errorfalsefalsefalseNo errorfalsetruexUncorrectable error (DE)falsefalsetrueCorrectable error (SE)ReportSE' = when true, wakeup fault task on correctable errors (SE's), provided NoWake is falseWord CodeDisHold = prevent hold from occurringNoRef = prevent storage references011101110111Meaningword 0word 1word 2word 3otheruncorrectableChip SizeMapDirtybMapParityM0, M1, M2, or M3 indicates that a storage boardpair is plugged into slot 0, 1, 2, or 3.Chip Size0 = 4kx1 ic's1 = 16kx1 ic's2 = 64kx1 ic's3 = 256kx1 ic'sTaskD1MemReg.silFigure 10The Pipe and Other Memory RegistersReverseda1111Pipe5[0:7] are in the Pipe, Pipe5[8:15] are values read from cache address section during last ref.CFlags_A'ProcTag6/26/80t;tH+I";,,|" " &3 $t% HdH;t<H 'A:5W6,5W25W.5WA%=%:%6,%2%!<;t<X-I d? Et+H+8*8+0+-+Q+b-)[Y eC>;$Lc.$9 c >^$ c $]b$%:b$4b$b$b$!Vb$)b$-b$0b$8b$@tb$DXb$H;b$araVa:aa#a&a*a.a2a6,a:a=aAaEaIaV#R?WX-XE%I%XUVU VR<+<VTtA&< ';t<p_J]dbrLf VtSX29]=< -<1,<9;<8;tF<5"s&3 $-%2"s5W s5r$(5r$( ]$s ]r$"s -Jt$LJt$rpOWrIr5 U 9 rG rN&WtH 2Y.3 3X 3 d s|`wK`wd'r$2tc7Id'$-Y.5W +5U$H5$ |2V2s2+2 H ]$+ ]U$Vst +|rp<r:tGHIG"I!G1G -H+$7Hr$-|E=;tE=?WtH ^$L^u$9 `>;$*_ _n$0_n$ |[^$ Z&>;$LX$9sY $&WY d$s|UZ+UZ0Y $:WY $^$LNX$9 Pm>;$'sN OQH$2OQ$ |KKK^$LG$ J >;$<:$s:$I:E:A:=:::6,:2:.:*:&:#::::V:r::H;:$DX:$@t:$8:$0:$-:$):$!V:$:$:$4:$%::$:$ ;P$] ;P>^$L;t$9 =>;$6,<<4;$s4;$I3eE3eA3e=3e:3e6,3e23e.3e*3e&3e#3e3e:3eV3er3e3eH;4;$DX4;$@t4;$84;$04;$-4;$)4;$!V4;$4;$4;$44;$%:4;$4;$ 4$] 4>^$L4$9 6>;$<)$s)$I)E)A)=):)6,)2).)*)&)#)):)V)r))H;)$DX)$@t)$8)$d0)$-)$))$!V)$)$)$4)$%:)$)$ *^$] *^>^$L*$9 ,>;$+++++"+&+*:+5+IX+AI+=+<$$s$$I#E#A#=#:#6,#2#.#*#&####:#V#r##H;$$DX$$@t$$8$$0$$-$$)$$!V$$$$$$4$$%:$$$$ %$] %>^$L%:$9 'O>;$rp&r$e<$s$It,E,A,=,:,6,,2,.,*,&,#,,:,V,r,,H;$DX$@t$8$0$-$)$!V$$$4$%:$$ l$] l>^$L$9 >;$+p=t< $s $I E A = : 6, 2 . * & #  : V r  H; $DX $@t $8 $0 $- $) $!V $ $ $4 $%: $ $ @$] @>^$L d$9 y>;$- 0 ]9$: ]$;|0< ]$I ]9$<KuEt Et :Et+tDD!D%D +BB!B%B+AA A%A+@@!@%@+?? ?%?d_8uE- t%"9C9B9A9@t?uE-?tC?B?A?@t9;?W??W =5W E-5D4I5H4200(1s2 7I2 7I07I/7I.#XBu "sr H+#2tIhcrcVc:c8cp+W t<;tDXu JLfg 00010203040506070809101112131415WORD0DROW1514131211100908070605040302010000010203040506070809101112131415WORDDROW1514131211100908070605040302010012301234567xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx007206106307046247147346026227127326067266166367013212112313052253153352032233133332073272172373015214114315054255155354034235135334075474174375016217117316057256156357037136236337076277177376Check bits are EXOR ofdata bits marked xand number ofones inSyndrome isandfurthermoreTHEN0ALWAYSALWAYSNO ERRORNot 0ODDsyndrome bitsSINGLE ERROR (data bit)Bits 4,5,6 give bad word:4 5 6word0 1 11 0 11 1 01 1 101230 0 0 00 0 0 11 1 1 01 1 1 1...Bits 3,2,1,0 give bad bit:3 2 1 0bit00011415...Bad bit will be corrected iferror correction is enabledNot 0ODDin SyndromeSINGLE ERROR (check bit)Syndromebad check bit20010004002001000400200176543210Not 0ODDsyndrome bits4,5,6 have 0or 1 ones onTRIPLE ERROR!!(but no data bits will be changed)Not 0EVENALWAYSDOUBLE ERRORNo data bits will be changed.Syndrome is nonsense.Syndrome is nonsense.No data bits will be changed.4,5,6 have 2or 3 ones onexactly 1 oneInterpretation of SYNDROMEcomputed check bitsTestSyndromemessy EXORnetwork>Quad-wordin Cache>>r(usually zero)>>Quad-wordin storagecheck bitsnetworkEXORmessy >computed check bitsr>>>SYNDROME>qin CacheQuad-word>EnableqPipe 4rrin storage/4x1688other stuffErrorCorrectorcdeffedcSYNDROMEfor errorin bitIfSYNDROMEisD1ErrCorr.sil9/5/79Figure 11Error CorrectiontQPONMLfKJJ-IGFEDCBfAIpNXLKIXFrSXGr@Gr@tGr,,Gr,sGr?G568e:t-./:0W1s2345789;:W;t<=)e(H',&$#"! eH,p%$"s r*Gr+GrGrGrGrG +tr   9 Vrp1s +RV$r$NQV$PV$OV$N{V$M_V$LBV$K&V$J V$HV$GV$FV$EV$D{V$C_V$BBV$A&V$,V$-V$/V$03V$1PV$2lV$3V$4V$5V$6V$7V$9V$:4V$;PV$V$*^V$)AV$(%V$'V$%V$$V$#V$"V$!zV$ ]V$AV$%V$V$V$V$V$V$NV$kV$V$V$V$ V$ V$ V$ 2V$OV$kV$V$V$V$V$V$V$ SX SX VSXSXSXVSXSXSX tP N Lf J- G E C AI - /: 1s 3 5 8 :W < (H & # ! e ,   r    V    O N KJ J- F E Bf AI - . 1s 2 5 7 :W ;t ', & " ! H ,   r    V r   r$P VLf VM VKJ VJ- VAI VBf VD VC V/: V0W V. V- V5 V7 V9; V8 V# V$ V" V! V V V V V V V Vr V V Vr V VGIFEAIBfDC/:0W.-1s243e H,r  9 3421s-.0W/:89;75:W;t=<(H)e',&!"$#,H e  9 rr VVeV VHV,VVVVV#V$V"V!V&V',V)eV(HVVVVV VVrVVVVVVrVV V 9V VGVIVFVEVAIVBfVDVCVLfVMVKJVJ-VNVOVQVPr$PPQONJ-KJMLfCDBfAIEFIG3421s-.0W/:89;75:W;t=< Vrr  9 QNLfKJGFDAI-0W2378:W=)e&#"eH V 9 rr$Pr$PQPONMLfKJJ-IGFEDCBfAI=<;t:W9;8754321s0W/:.-)e(H',&$#"! eH,r V 9  r rU& V$ S$9rS$9S$9rS$9S$9 V U!?-G(Bf (AI(@- 0AI0@- B@-$=)=2=:=!=B-$#;-*;-0;- :;-:9=7A7=6,=5=3=2B6,B5B3B2A2$=7$=-=,=)e=(H?Wp+?W+W?W*B(H$V:t1,=/B/B-B,B)eB(HC;p+C;+WC;*=/^$!$-$:t&:%#"*"0! :"=!DX! C$ >e>H>,>>>>>GGGGGG,GHGe!A-$#+*+1s+ 1s 1s :+:"!A-$#+*+3+:+ : : :'s d$80W d$89 dG8! d$8! @-$N @$8B!C_-$:0: 08 0" +pDXrZJ$rVZ&$9]| $V[$9[ @$9[$t[9^ $9^ @$V^.$9_ $^. r] r[ rZ|Y>Vd'$r`$UV`@$V`$ytb aV^$VZ&$r\$|Y>[v ^$9 [vVu_&[$&[$-[$ &d$rc s$%|ZZ%_Z&_'$'stb 's` 's] 2`C$2d$3`3b3c.2`C$8`g$r-c r$0|_Z0t\0W\_$0W\_ @$;t\$0W^ $4^.$94;|Z4Y.$U4Y $-^ $/:V$9/:VV$6,UZ6,S!=d9$DXa$U=a`]$=a`$y/:c $/:e $9c.$9c U$<_Z=Y $GW$=W_ $$=W_$@ttWBY $y<|T=?W]!H;tbH;c. Ga`$yGa`]$NXa$UGd9$F|_Z?^.$U>;t]B|]!9P $GN$99N{$9N{$]>tO-BP$B|M;c.>;b = V$ :|[v :[v[v[v7UZ7UZ7UZ7UZ#^ $$]$:WWU$;W$tUTt SX$Bf!AI$@->u @t!rG LBe ~Instruction Fetch Unit OrganizationBMux.0..15Jump displacementPcJpAdder>>>>>>baiiWantIfuRef'pTo memory>>>Jump displacement H if LengthK=2; SignK extends H.0=BMux.0..15ToProcessor=SignK supplies top 11 bitsTwoAlphaK..NK if LengthK=1;PC PipelineTypeJumpMSignMLengthMIfuRBaseSel'MemBMTypePauseK'TypeJumpK'TwoAlphaMTwoAlphaKMemBKRBaseSelK'LengthK'SignKNKNMSignXLengthXNXTwoAlphaXJHIfuAddr'InstrAddrK'FGpp918{GDv'}{PcFG.15}FGefcdFGParityErrBrkInsTestH8>>>>>>>>>>t1t0t0,t1t0t0t0t1t3t1t0,t1efcddcfeefcdRamParityErrMemoryTo IFUFGAlphaMCache>InsSet28igh>To control section>>To processor sectionTo processor section2311418SectionPCX'Junk'IFUMRH'IFUMLH'M-LevelX-LevelK-LevelTrapAddr'101TrapConditionTrapAddressF>RamParity.0other bitsother bitsother bitsRamParity.1RamParity.2IFUM1024 wordsRamParityErrInsSet..74Not ReadyInsSet..34RescheduleInsSet..14FGParityErrInsSet..04K FaultInsSet..00Lowest priorityHighest prioritypIfuFaultTo IFUhgId.signghId.0..3Alpha/Betat0AlphaX.0..3AlphaX.4..7t0Id.4..7pppToProcessorSection15Mar.1..15'16PcFPcFGPcJPcMPcX>>>>>>>>>>>>>>>>>>>>>>>x 27 bitsD1IFU.silFigure 129/5/79rr#t] +\+Z\ $Z 9$Et|U]|+$ZJ$UZ&N$Z&$ydt[f[$[$U\$`C+$#]$]|N$]|$YQ$YQN$#Yu$\+$Z$+^$Z$|[/WX!YXW+]!+]!+[/#^9$+\$`$$et` -_$5|\9u_J %|W&\+$*Yu$&YQN$&YQ$#Z$-IYQ$-IYQN$1sYu$-I\+$,,W*Z$2W3\+$8Yu$3YQN$3YQ$1sZ$+tc.a!G`8Zd$>U$?WX >X$AuW<?WV Gta_`8e;P$?9$8e9$8e9$8e6$8e6$?7$8e8$ pU .t,s 01s07I.& 0W)"/ "- /:4; "4 "*:"'s "7"2I":1s::W1s:7I;t:9;4; .e2$51,$.e1 $.e1 $.e6$.e6$57$.e8$.e(%$5&$.e&z$.e)A$.e)A$5)e$.e*$.e$$5#H$.e#%$.e5{$53$.e9$.e9$59$.e;P$.e-$5,,$.e, $.e, $8e1 $8e1 $?1,$8e2$?3$8e5{$7%+$5$94N$4$]<%$]<%N$^+$VL$ L$Hp5WH< N9$ L9$ E9$ G9$ E$]VE$9M;$GM$GNX$GG$GF$GF$G0t#"$ dL$dK$ K$ J$dG$dH$ G$ I$dG$ pM; FdJQG$|FG2I$tKO-$+PuFD t6 G/$ G03$ G0z$ G0$ G1 $ G1P$ G1$ G1$ G2%$ |.u .u .u .u G0$t1, 6$ +:{r$ +3 :X(HX.X5X!`g=fM;HF*3$*z$*$*$*O$*$*$*%$*l$,|,-I-I-I-I,,*$*A$*$*$*k$*$$*$*$*O$*k$*$*$*A$*$*$*$*]$*$,,-I-I0O$0k$0$1,$1,kV$2It UT-:GBGUBGUBG 9pQuItJt 5$.e$0W<8e>$8eA>$A>$?=Br$?:{$A:{$A;$?7$B7$B:{$DX9$DX<$Et<IX|<IX9IX..Fu8D7 D5%:^u$$t_J._ $ZJ$$e[f p^. :Z'Z.Z5Z9YQ$9W$9V$=|W=U=T==R-I9-I6-I4-I0-I..-I).-I&g-I#-I JC;9C;:C;8.C;67I47I67I07I..;t +G7I97I<]|$1 9$:t' ?Wu !r ?WudC9dJ b Disk ControllerTagRegisterControlRegister16-wordFIFOFormatRAMSubsectorSequencePROM4ReadPROMSequenceWrite884OtherControls>IOB12>DriveTagCylinderTagHeadTagControlTag12TagBusWordCounter>1216-word>>>16TagTW6Divider4>>SubsectorCounterSectorTWiiiselectedbabaIndexTWqqcdeffedc18>NotOnLineNotReadyNotSelectedHeadOvflSeekIncDevCheckcdeffedcReadOnlyFIFOControlFifoUnderflowFifoOverflowRdFifoTWWrFifoTWShiftRegister>iSelectErrorsFromDiskDrivesTo DiskDrives>DriveErrorsFromController<Radial cable iSelected.0Selected.1Selected.2Selected.3Selected.iBitClock.iData.iSubsecIndex.i16>>16Subsec.iIndex.iSubsec.iIndex.i>>&StatusCylinderOffsetNoTerminator<>MuffRegister168MufflerMultiplexorsDMuxAddress84fedcMultiplexor controls11<<>DMuxData>DMuxDataDMuxClockBoardSelectBoardSelectabFigure 139/5/79 rUHT G$HJk$I4$I4$HIX$M$H6 $H2%$rT$rT$HU$rX$%Gd$+D$%C$%C$ dZ$ dZ@$Z$ d^$tONKHI42VfdUJ&FI \< ZZ ]X _ a< dc$_$ d_@$ d_$ bXZn$a$`g$d\$\$^ $`C$]$U^$:X$+`$b+[$]_9$_$`_^V|S!tVW:|\HTk$#U$HXG$#O r$#N4 r$#L r$#Km r$&tO&NX &L&K #R $%R(R<&WQ$W<UHV$,|S!Vf$tWWP$N$#J$ rP$O$ 9N$|KM,L/.N$d.etOt"sI$@I!F$!E$#HEt$#F&E-+E$-Et$,F$e|B/-B/.C$.C$5WD$.Gd$/tFI 0E-@D ,, G1uD(HD7D7tD6Cd$5WE$=|B/=B/0BB$3@$y ; ;AtA/|?3e?51515151253U$24$24$24^$24$23$23$23B$22U$8e4$2/U$2/$203$20z$20$21 $21P$21$21U$5..5..5..5..9;0$U 4$3$Ht4+|0g8$V$8V$8V$8]V$8V$8V$9t 9H:e 9998e0$5|&5&5&5&2*U$2*^$2*$2)$2)$2)A$2($2($2(lU$8e,$2+U$2, $2,P$2,$2,$2-%$2-l$2-$2-U$5*J5*J5*J5*J9t:H6$kH6$7$GH<%$898 97 @=@?4+$6 G$#H2I$2%k$2%$e43|0g1!39$19$1l9$19$8$87It"sBuBBB-NG@-N@-M>;|K*$)$s(% d$6,$7t#9;)$U8e)$H2%$2I$Bu2IB1,B0 |0g0g9t2 9+W +5G+5W.e4$-5+W-G+..-$.e.e=u -IKJG92O-+Wr G,s7I|9A$?!39$? y]$u,s2t!V 2 2 2, ) / .(H 8',$7l's$8's$?'O$B's$?*$B $;B$;Bd$ |%"$u(t+ |'<t,s|*J9t: 9K&$|GvJ$tK1/G1(HG#)$$e&$U#&z$#&z$y$e(V$&)$e'OV$&'s(Bf(@+|%J+#Bu+BH V$9VGG(BB9$(@H$8:{$8V$9t8OV$9s 8erG G#H4$#H|0gs(G,P$s/V$- $ /$$.$ #%$+uH$G$!$UH!zk$H!z$ydt#!"$d!V"$#$d$d$d$d$d$kd$$d$d$d$Od$d$d$yd$2d$Ad$]d$d$udH H$yHk$$UH G$t,Ar$#%r$e$d $!$!$d :$9$,$|ees$",z$ :u!Vk G$$dst$V$r2@$2$ k$$ 9HGB | l$+$H$!3 $+H$$$$d $ $r $ $u #| u  +$$@+ rt  "s =|>=>?WAm$?WD$"sI{$e$"sr =ud~9dAbXFigure 14Display ControllerD1Display.sil18Fout>>T0T1ROdd1616REvenFIFO256 x 32RAM>>T1pAReaderPtrBReaderPtrAWriterPtrBWriterPtrFIFO is written during FH andis read during the SH; readsalternate between channelA and channel B (irrespectiveof whether or not the channelwants the FIFO).>>>>7ACanReadFIFOAWritingFIFO>>1HWindowChannelOnChannelOffCursor video1st FIFORead2nd FIFORead<><><><><>32LeftMargin15><>16CursorX><18128 words ofFIFO used by A,128 by B.NLCB16 x 12RAM12CLCB<>RAMHRamHRamAddrHRamOut1024 x 33>>32FIB>>32SIB32>SR8A or B channel timing (in pixel clocks, not to scale)3>10Permuter>32>8SR>32SIB32>FIBT0DblCursorDataAItem.0AItem.1AItem.3BItem.0AOnBOndcAItem.2PolarityMiniMixer256 x 4 RAM48>4AItem[4:7]8dcCursorDataAItem.0BItem.0PolarityefcddcVBlankHBlankdc1AltoVideoPClkPClkVariousregisters>of NLCB and CLCB registersNLCBAddr412ItemClk'sPClk/2hg18IOBp16RIOBRIOBT3See Figure 15 for layoutHorizontal Blanking<>Visibleleft margin8/30/81RIOB>>RIOBMiniMix7-WireInterfacepTo TerminalMiniMix.0HSyncHBlankVSyncVBlank6VCWSyncGenerator3pVisible data>Visibleright margin>>>>>>>$r !d<u 6$3$ 2$ 2$ -$y -$-$U 1 $4$ 4;$ Gt5W4 |0/$G/^+$ + u6 1,4 +$t4d4;$5W.$0/+6 $-$V+-$+-$y/^ +$p2t0/|+0u6,9|0 V(% $ t(H V& $ & V%^ $ % V# $ $ V#H$V&3$%$-,s+W*:)(:|$u:#:!: Jt& , $+,, * $+* V)$V+z$+z$]:|(X:&+$st,,V` (])[>\?[_F$2ZJ$UHZ$V3e\ :Z$U,a`g^.$9$e^.$9"sa#`g*^.$|b :b*_Jtb[/+[/H[/[/HW1W rt^u^+$ ^r$^u H^+$^G$!^u^$"s^$#H|[/[/$e^9$(H^9$)e[/$e[/3t^u*^$*|[/?[/6,tZeZH[C$#[C$2[C$7[CU$9|W2W&t^u,s +W*: -$ )$ )$ F$dBf$ BB$ BB$ pEt tC BdD$DX$EtdpEt `9$|\+\`$ t: 9$ 9$d9$ p< @$d?$ >$ >$ t?Wd<%U$=B$d;t$;P$;P$H; ;-;$9<d;+$ >^$ r|8.8ue1s$t2+3$.0$+0z2$+0z$2,1s |..1s...1$20z$220z2$50$23$/t20W1s$3e1s71s$6t29;3$$pXX5;t$t<?$ r| 8C$@t<$981s=1,B$-A& $-? $->^ $-< $AAp@-eA$#H?$e?$e?$%?W%= $A$$t+>)>'3e|%6,)d$1,'$U-t*-(H-I*^$-I(%$-u& -$p+]d$r$y$y$+$trk9$9pr$+$$$t k9$|1,)d$1%#HI$ """" "";WLGFLBf!Figure 15Display Controller IO RegistersD1DispReg.sil151413121110987654321001234567891011121314150123456789101112131415151413121110987654321001234567891011121314151514131211109876543210012345678910111213141515141312111098765432100123456789101112131415--MufAddr[5:11]<>XSyncEnableFakePixelClockUseFake------DWTShutupDHTShutupNLCBAddr[0:3]<>NLCB data<>KeepHRam'WriteHRam'LoadHRamAddr------------------------>Data[0:7]><--------<>KeepMixer'WriteMixer'LoadMixerAddr--<>--><--AddrLoadWriteKeep1514131211109876543210--------Address[0:7] OR Data[0:7]<>377 (Y)367 (M)DDC**Addr[1:10]375 (Y)HRam374 (Y)373 (Y)DWTFlag*8/29/81372 (Y)MiniMixer360 (M)PixelClk361 (M)Mixer362 (M)CMap365 (M)BMapRed[4:7]Blue[0:7]<>Green[0:7]Red[0:3]<<>>01370 (Y)----1514131211109876543210MapInLo1>><TIOAOutputTIOAInput376 (Y)366 (M)364 (M)363 (M)361 (M)360 (M)TIOAInputNoPEData*Data** Parallel registers DispY/DispM0VCW*VBlankVSyncOddFld111BMargin10--AMargin*Left margin count (negative)<>2AWidth*12BWidthWidth count (negative)<>3AFifoAddr*13BFifoAddrFifo Address (even)<>414BScanPolarity*ResolutionSize8Size4Size2Size15MixerMode24BitBBypassA8B215Cursor position (negative)<>CursorX*6--16CursorLo*7--17CursorHi*Cursor data [8:15]Cursor data [0:7]<<>>TerminalTerminalMufflerMultiplierDivisor<<** Only starred bits or fields are usedon DispM; all others are ignoredStatics**NLCB**Status**MapInHi**AScan**DHTFlag**WCB*0*Map'Map'Map#r V9Cu =Lf$Lf$J-tKJFIKJBfKJ>KJ:KJ6KJ3KJ/:KJ+WKJ'sKJ#KJKJKJKJKJKJHLf$DLf$ALf$9;Lf$1sLf$-Lf$)Lf$!Lf$Lf$VLf$5WLf$%Lf$:Lf$rL$]rL>^$LL$9r^ >;$re>;$L`$rr`>^$ra$:` $d%` $d5W` $dV` $d` $d!` $d)` $d-` $d9;` $dA` $d_J_J_J_J_J#_J's_J*_J/:_J3_J6_J:_J>_JBf_JFI_JJ-_J` $d=` $drJ>;$LG$rF>^$rF$:F$%F$5WF$VF$F$!F$)F$-F$1sF$9;F$AF$DF$HF$EEEEE#E'sE+WE/:E3E6E:E>EBfEFIEJ-E=F$=@$@$J-?FI?Bf?>?:?6?3?/:?+W?'s?#??????H@$D@$A@$9;@$1s@$-@$)@$!@$@$V@$5W@$%@$:@$rA&$rA&>^$LAI$rE >;$r>>;$L;-$r; >^$r; $::$%:$5W:$V:$:$!:$):$-:$1s:$9;:$A:$D:$H:$99999#9's9+W9/:93969:9>9Bf9FI9J-9:$=:$=4$4$J-3FI3Bf3>3:36333/:3+W3's3#333333H4$D4$A4$9;4$1s4$-4$)4$!4$4$V4$5W4$%4$:4$r4$r4>^$L5$r8>;$r>;$L$Urz>^$rz$y:$%$5W$V$$!$)$-$1s$9;$A$D$H$:::::#:'s:+W:/::3:6:::>:Bf:FI:J-:$=$= $ $J-eFIeBfe>e:e6e3e/:e+We'se#eeeeeeH $D $A $9; $1s $- $) $! $ $V $5W $% $: $r $yr >^$L!$Ur$A>;$r,>;$L&$r&z>^$r&z$@:&$%&$5W&$V&$&$!&$1s&$9;&$A&$D&$H&$%:%:%:%:%:#%:'s%:+W%:/:%:3%:6%::%:>%:Bf%:FI%:J-%:=&$bVb Vc r$$c $V|_Z,s_Z.tc..b2c2b2a5d5cu:WbX>;bXBbXFcEtbIdIcu\ r\+$\U$r|Y>Y>2t\ \H$8\$|Y>KY>G$H.$tIXH;+IX+H;IHGf:HeIXeGf#HGf',Gf*Gf.Gf2Gf6Gf:Gf>Gf#HIX%I+$9I$K|F%FAItGfE-GfIGfBBBBeB#HB',B*B.B2B6B:B>BBfCABAAFCEBICJ-B<<<<e<#H<',<+<.<2<6<9=9<==><>;tBf;tB<A=Et=F<FI;tI=I;td6t r6 $!6 $r|3.,s3.:Wt6t -6 $?6 $K|3.-3.t",",",",|<&$*.$Ht)H(+)+(V*V)eV(H)e|&K&t+!+z$9;+z$G|'!'2t+ I+IX+e#H',+.26:>BfFI5bX5a<D` $dH` $dIXbX1s` $dH<="$G"$K|=t0W/:1s00=.e$J--FI-Bf->-:-6-3-/:-+W-'s-#------H.e$D.e$A.e$9;.e$1s.e$-.e$).e$!.e$.e$V.e$5W.e$%.e$:.e$r.$r.>^$L.$r2>;$.e$e0W#0W's0W+0W70W-0$D0$-|-K-pdbXIta<Fpa<4;tIX pH;H;C=<Dup55 !!((/:/:00$t)e7)e )$()r$-) $<)$-|&-&(.$)t', C;',' $/:' $='$G'$|#=#<#K#)&$-&$Mt)eM',L+z$M*$pt  =$$J-FIBf>:63/:+W's#H$D$A$9;$1s$-$)$!$$V$5W$%$:$r $yr >^$L +$Ur ]>;$pt GK|<=G $= $/: $ $C;t G) G r>;$L$Ur>^$r$y%+$5W+$+$!+$)+$-+$1s+$9;+$A+$D+$H+$ V V V V V# V's V+W V/: V3 V6 V: V> VBf VFI VJ- V+$=+$-| - <A$-A $(Ar$A$7t $K|  tV rA$A$r| s pffUSXB;t  t+ G MGMGL$9r[>;$ZZAZEtZHZrZ&>;$X:XsX:ZsZrX|>;$X-XYQr$:YQ$|UKUtW<W<:W<sW<-W<rV>;$WH$8eWH$|SKStUU :UsU 7IU-UV$@tU 9$-U +$-|RLKRLrU&>;$tS:SsS1S 7S =SASESIXSrS|>;$R<R< =R<E-R<IR<rQ>;$:P-PPH$9P+$|MKKMKrP&>;$stPNN:NsN rN{>;$M;M;:M;sM; 8N8M;|KIKKKI=S$AS$DS$HS$5WS$1sS$-S$tH :+$V+$IH+", C;"," 9$/" V$-|K-I-OQ $-M $AOQ $AM $t'9 pb 9S tSpB EtAJtA//0WN{f`s15141312111098765432100123456789101112131415D1Ethernet.silFigure 16Ethernet ControllerOutput_BTIOA = 016EthCEthCPd_InputTxCmdEnbl'TxOnTxEOPTxCntDwnRxCmdEnbl'RxOnRxBOP'--TestCmdEnbl'LoopBackNoWakeupsSingleStepTestClockTestColl'TestDataReportCollsHost Address<>RxOnTxOnLoopBackTxCollNoWakeupsTxDataLateSingleStepTxFifoPETxCmdEnbl' enables setting of TxOn and TxEOPRxCmdEnbl' enables setting of RxOn and RxBOP'TestCmdEnbl' enables setting of LoopBack, SingleStep, NoWakeups, TestClock, TestColl', TestData,and ReportCollsHost Address is set by backpanel jumpersPDInputPDNewPDOldEClkEClkEClkFSMPDCarrierPDEvent[0:1]0 No event1 Collision2 Data 03 Data 1PhaseDecoderReceiverFSMRxCollisionRxEOPRxSync'RxIncTransRxCRCResetRxCRCClkRxDataRxCtrl[0:1]RxSRFull'EClkSR1EClkRxDataRxEOPReceiverFIFOefdccdfe211616-wordx 19-bitRAMT1ParityEthData.18IOAtten18EthData[0:17]T1T1IOB18RAM16-wordFIFORxFifoRdPtrRxFifoWrtPtrTxFifoRdPtrTxFifoWrtPtrx 18-bit18TransmitterT118SR1TxDataTxCRCEnblRxDataRxCRCClkRxCRCResetRxCRCErrorCRCTxCRCClkCheckTxOffT1TxFifoPECRCGenFSMTransmitterTxCRCEnblTxCRCClkTxGoneTxGoTxDataTxSRCtrl[0:1]TxEndTxAbortTxStartTxSREmptyGotTxBitwire-orPhaseEncoderTxOffXcCollisionRxCollisionTxGoPEOutputTxCollisionGotTxBitTxCollisionTxOffTxFifoPETxDataLatedcTxAbortabTxFifoEmptyTxEOPTxEnddcTxFifoFullTxEOPbaPDCarrierTxStartReceiverTransmitterRxCollisionRxDataLateRxCRCErrorRxIncTransPd_Input1514131211109876543210EthDTIOA = 015RxCollisionRxDataLateRxCRCErrorRxIncTrans------------------------8/30/81(Receiver status word following end-of-packet)=$e$$e$Kt#G#C;#?W#;t#7#3#0#,,#(H#$e# #####I$e$E$e$A$e$:$e$2I$e$.e$e$*$e$"$e$$e$+$e$6,$e$&$e$$e$H$$H$>^$M$$H(>;$O>;$L$k>^$k$$&$5$$d$",$)$-$1$9$AI$E-$d+H+++++#+'+++/+3e+7+:+>+B+F+Jt+H$=f$Bu%:r !p$9(H +&9t'sd&Wd%:&W&WV's&W%:'s&W%:#&W&&W+&W/:'s/:&W/:%:3&3%;t's:&W;-%:6t&6%>&>%Bf&Bf%F&F%I&Jt%d ] $!] 9$|,.et2I6,6,d9>>;>BBBEFdJ-IJ-,-!`r (I$b5$bX c$`$ `$ `$2b5d$`$2`$`$c$sc$`$s`$s`$2bXb5r$b5+$bX udJdJdJ`g$`C +$c$^@$^$$!t_&Wb &W` %:`$%:a$%:_$&_ &] &\ &[ bX :`.cd$4W$ .W_$.W_$ /:^.0W]4cQ +$5cu 4` r$5[5`4_' +$4] r$4\_ r$4Y r$4X5 r$5_J 5] 5\5b5Y 5XX uZ W$2 W$W<$ Z&$ tX 9X$ Y.X|$ VuS P&$2 P&$PJ$ S4$X$Q$Z&$PJ$ P&$P&$ $4a +$4Z r$X|$9tXQ$9QWVf|FYFYHFYHFYH$SSSSdUJ$UVC9$U$dShQ$dNUtTSRUmr$Q$P&$2P&$ :PJ$S4$uStS :Q$!Q (uQ!T $2!T $#T-$!W$sU$|R$tU #Um $!uW\$ZJ$Z$ BB$2 BB$rBf$ EP$C$ EtC C;$ r|AH;$G$I{$t?BC9>$9>$?$9G$\ [f \9$[C9$ J- H H9$ J 9$ArC$C;$|AtFVDC$EP$Bf$BB$BB$2duEsC;$+|AtC;C;$|AtCAI$? H?d$A&$0T $0R$0QC$1,T-1,R1,Qf 9Um$=P$9O$9O$=R :WSH>^d$>BB$#<$d;$;$#?$9Q=R9$H<d$=C$dHd$sJ$G$sG$sG$2HuKH$ tIGd$J $I$I{$I4$H$H_$H$dW_d$dW$dV$dV$dVC$dU$dU$dUm$ ? >6 +$2l r$3 +$53 r$7 +$4;d1$d1$d:4d$658 65W392 1$9865W 39^$7$6$53$3$$9$ G9^$#HuDX3=$-IDd$-I<$-I<$.tAI.e?C9$&BB$&Bf&@$&A &?{$&? &>$&>;3C$4C;3A$4A 3@P$4@t(H: (H9;(H7(H6t (6P$(7$(H9G$(H:{d$.9$.9$.6P$.7%$-8$G-89$-7$k-79$/:|5/:528$2t8/|0g/0g(3$(2%$(Ht3 (H2I12$23,|+,+/$Vt/: -l$V-/|,/,.A$ t0 :/$&W1 $(/:$&W/$&W/$(/$)1 $*/:$)/$)/$*/$1/$2I/: pM * d_'9$\_$Z$dt_J d] d\ d[ ]$ _$rZJ$I$9p=f$H$JttFB>:73e/+'#+HdE-$AI$9$)$",$d$$5$&$$A$A>^$Ld$$>;$p99 /:t-9 -$1$7I66?>=JJtIFB:3+W's#DXu9t V.9Kmc 6RSTK[0]RSTK[1:3]Meaning01234567No StkP changeStkP_ StkP+2StkP_ StkP+3StkP_ StkP+1ASELFF[0:1]Meaning0123012301230123--------01234567PreFetch_ RM/STKLongFetch_ RM/STKStore_ RM/STKDummyRef_ RM/STKIFetch_ RM/STKFetch_ RM/STKStore_ MdStore_ IdStore_ QStore_ TFetch_ MdFetch_ IdFetch_ QFetch_ TA_ RM/STKA_ IdA_ TShift operationASELMeaning01234567Store_ RM/STKFetch_ RM/STKStore_ TFetch_ TShift operationA_ TA_ IdA_ RM/STKBSELPrimaryExternal01234567MdRM/STKTQ0,,FF377,,FFFF,,0FF,,377----Q_ BLCMeaning01234567No actionT_ PdT_ Md, RM/STK_ PdT_ MdRM/STK_ MdT_ Pd, RM/STK_ MdRM/STK_ PdT_ Pd, RM/STK_ PdLogical357111517213337NOT ANOT A OR NOT BNOT A OR BNOT A AND NOT BNOT BA XNOR B, A EQV B, A=BA OR NOT BNOT A AND BA XOR B, A#BBA OR BA AND NOT BA AND BAA1 (all ones)A0 (all zeroes)6Arithmetic (no carry)Arithmetic (with carry)206236A2*AA+BA+12*A+1A+B+1AALUF[0:2]01234567MeaningShiftNoMaskShiftLMaskShiftRMaskShiftBothMasksShMdNoMaskShMdLMaskShMdRMaskShMdBothMasksSHASHBRMaskLMaskShC bits:234:78:1112:15RF_ AWF_ AShC_ BA[2]A[2]B[2]A[3]A[3]B[3]P+S+116-P-S-1B[4:7]undefined16-P-S-1B[8:11]15-SPB[12:15]CountFF[4:7]FF[4:7]FF[0:3]Shift controls come fromShc when BSEL[0]=0 in themicroinstruction that shiftsShift controls come from FFwhen BSEL[0]=1, and thesource for B is changed to QP=A[8:11]=number of bits to the left of the fieldS=A[12:15]=number of bits in the field - 1020021022023024025026027030031032033034035036037070071072073074075076077A[12:15]_ FF[4:7]A_ RM/STKA_ TA_ MdA_ QXorCarryXorSavedCarryCarry20ModStkPBeforeW--ReadMapPd_ InputPd_ InputNoPERisIdTisIdOutput_ BFlipMemBaseBranch conditionsBigBDispatch_ BBDispatch_ BMultiplyQ_ B--TgetsMdFreezeBCReplace RSTK byNoop100101102105106107122123124125126127PCF_ BIFUTest_ BIFUTick--MemBase_ B[3:7]RBase_ B[12:15]Pointers_ B----CFlags_ A'BrLo_ ABrHi_ ALoadTestSyndromeProcSRN_ B[12:15]130131132133134135136137140141142143144145146147RescheduleNoRescheduleIFUMRH_ BIFUMLH_ BIFUResetBrkIns_ BUseDMDMidasStrobe_ BTaskingOffTaskingOnStkP_ B[8:15]RestoreStkPCnt_ BLink_ B150151152153154155156157Q lsh 1Q rsh 1TIOA[0:7]_ B[0:7]--Hold&TaskSim_ BWF_ ARF_ AShC_ A160161162163164165166167170171172173174175176177B_ FaultInfo'B_ Pipe0 (VaHi)B_ Pipe1 (VaLo)B_ Pipe2'B_ Pipe3' (Map')B_ Pipe4' (Errors')B_ Config'B_ Pipe5B_ PCX'B_ IFUMRH'B_ IFUMLH'B_ DBufB_ RWCPRegB_ Link262263264265266267270271272273274275276277000-17040-57060-67200-17220-37240-47250-53254-57260-61RBase_ FF[4:7]Replace RBase byFF[4:7] for writeTIOA[5:7]_ FF[5:7]MemBaseX_ FF[6:7]MemBX_ FF[6:7]--Pd_ ALUFMRWPd_ ALUFMEMPd_ CntPd_ PointersPd_ TIOA&StkPPd_ ShCPd_ ALU rsh 1Pd_ ALU rcy 1Pd_ ALU brsh 1Pd_ ALU arsh 1Pd_ ALU lsh 1Pd_ ALU lcy 1DivideCDivide300-37340-57360-67FF[4:7] for writeMemBase_ FF[3:7]Cnt_ FF[4:7]Wakeup[FF[4:7]]StkP_ StkP - 4StkP_ StkP - 3StkP_ StkP - 2StkP_ StkP - 1Field:110-17120-21LoadMcr[A,B]103104RescheduleNow113232527313501422362002142221614100711156125131234A - B - 1A - 1A - BValueAddrMeaningMeaningAddrValueALUFM addresses for operationsin standard system microcodeqqD1CribSheet.sil--0 = No ovfl/undfl check1 = Ovfl/undfl checkIOFetch_RM (io)Flush_RM/STK (emu/flt)Map_RM/STK (emu/flt)IOStore_RM (io)BSEL.1BSEL.2Programmers' Crib SheetDerivation of Shift ControlsALUFM Control ValuesALUF Shift DecodesRSTK Decodes for STK OperationsASEL Decodes (FF not ok)ASEL Decodes (FF is ok)BSEL DecodesLC DecodesFF DecodesFigure 17InsSetorEvent_BEventCntB_BB_EventCntA'B_EventCntB'9/26/79,,tV,,S 2S.eQ.eP.eO.eN.eM.eLf.eKJ.eJ-2Q2O 2N 2P c.+c.c.HaH`gH^.H]H[HZHXHWHVfHUJHT-HSHQHPHOHNHMHLfHKJHJ-a[VfQMLfKJJ-a^.] [XW Vf UJ T-SQ P ONM LfKJJ-+b2b-a-`g-_J-^.-]-[-Z-Y2a 2`g 2_J2^.2Y2Z2[2] c.c. c.a`g_J^.][ZYa`g_J^.][ZY a `g ^.UJUJSRQfPJO-NLKS RQfPJO- NL K:E:A:@:?:>:V=fV<=f< @-=f @-;=<:9876t5W0/.-,s+W*:)GF DCBA@t ?W>;=<: 9 876t 5W 20/ .-,s+W*:4;)&%$!V :sV:&% $"s!V :  s:r V 9  s    r V  9     sV:V:r V 9  &&&&&s&V&:&&&&r  V 9   ++ +++V +: ++ +8888s8V8:8888888r8 VG4;2&&r& 9& & 8++r+ V+ 9+ + << < <<s <V <:< < <<< < <r< V8 8 83< < <2M2Lf2KJ2J-+V #"s# :B:=f:8:7:6:5:3e*B*@*?*>*:*7*6B=f8H7H654H3e.eB.e@.e?.>.:.e7.e60? 0>06DDVD0D-D)DV0V/H0$H0$,s09$|/./Bu t_J2V2U_JZ`gY+Y.HG8/GXGdKG +$HIX5WG/:5WGHe5Gd#H#HHrdp-IGf9Gf,,W+ddd V I +IGVeGF.0$"rU t +s + Cu7$d&$d LJQeg^ HELVETICA  HELVETICA  HELVETICA HELVETICA GATES  z+F3 <.J 8T7\Ko'- Q ;j/ήld1progview.sil etc.Fialae15-Sep-81 18:31:24