; Daffodil.analyze
; Last modified June 3, 1983  10:18 AM by Diebert

; Texas Instruments
S00 = SN74S00/14/N
LS00 = SN74LS00/14/N
S02 = SN74S02/14/N
LS02 = SN74LS02/14/N
S04 = SN74S04/14/N
N07 = SN7407/14/N
S08 = SN74S08/14/N
LS08 = SN74LS08/14/N
S09 = SN74S09/14/N
S10 = SN74S10/14/N
S11 = SN74S11/14/N
LS11 = SN74LS11/14/N
LS14 = SN74LS14/14/N
S20 = SN74S20/14/N
S21 = SN74S21/14/N
LS21 = SN74LS21/14/N
S32 = SN74S32/14/N
LS32 = SN74LS32/14/N
N38 = SN7438/14/N
S38 = SN74S38/14/N
S64 = SN74S64/14/N
S74 = SN74S74/14/N
S86 = SN74S86/14/N
LS109 = SN74LS109/16/N
S112 = SN74S112/16/N
S136 = SN74S136/14/N
S138 = SN74S138/16/N
LS138 = SN74LS138/16/N
S139 = SN74S139/16/N
LS139 = SN74LS139/16/N
S143 = SN74S143/16/N6W
S151 = SN74S151/16/N
S157 = SN74S157/16/N
LS157 = SN74LS157/16/N
S158 = SN74S158/16/N
S162 = SN74S162/16/N
S163 = SN74S163/16/N
S164 = SN74S164/14/N
S174 = SN74S174/16/N
LS174 = SN74LS174/16/N
S175 = SN74S175/16/N
LS175 = SN74LS175/16/N
S182 = SN74S182/16/N
S194 = SN74S194/16/N
S240 = SN74S240/20/N
LS240 = SN74LS240/20/N
S241 = SN74S241/20/N
S244 = SN74S244/20/N
LS244 = SN74LS244/20/N
S245 = SN74S245/20/N
LS245 = SN74LS245/20/N
S253 = SN74S253/16/N
S257 = SN74S257/16/N
LS257 = SN74LS257/16/N
S266 = SN74S266/14/N
LS266 = SN74LS266/14/N
S273 = SN74S273/20/N
LS273 = SN74LS273/20/N
ALS273 = SN74ALS273/20/N
N276 = SN74N276/20/N
LS279 = SN74LS279/16/N
S280 = SN74S280/14/N
LS352 = SN74LS352/16/N
S373 = SN74S373/20/N
LS373 = SN74LS373/20/N
S374 = SN74S374/20/N
LS374 = SN74LS374/20/N
N376 = SN74N376/16/N
LS377 = SN74LS377/20/N
N393 = SN74393/14/N
S399 = SN74S399/16/N
LS399 = SN74LS399/16/N
ALS569 = SN74ALS569/20/N
ALS573 = SN74ALS573/20/N
ALS574 = SN74ALS574/20/N
ALS576 = SN74ALS576/20/N
ALS580 = SN74ALS580/20/N
S640 = SN74S640/20/N
LS640 = SN74LS640/20/N
LS682 = SN74LS682/20/N

188A = SN75188/14/J
189A = SN75189/14/N

MOSRAM = TMS4164-15/16/J

; Advanced Micro Devices
25S09 = AMD25S09/16/N
25S10 = AMD25S10/16/N
25S18 = AMD25S18/16/N
LS2538 = AMD25LS2538/20/N
LS2569 = AMD25LS2569/20/N
27S03 = AMD27S03/16/N
27S07 = AMD27S07/16/N
27S27 = AMD27S27/22/J4W
27S29 = AMD27S29/20/N
2901C = AMD2901/40/J6W
2950A = AMD2950/28/J6W
29116A = AMD29116/52/J9W
Z8030 = AmZ8030/40/J6W
Z8068 = AmZ8068/40/N6W

Am2964B = Am2964B/40/J6W
Am2961 = Am2961/24/N
Am2960 = Am2960/48/J6W
Am2966 = Am2966/20/N

; Intel
i2764 = i2764/28/N6W
i2816 = i2816/24/N6W
i3632 = i3632/24/N6W
i8274 = i8274/40/N6W
i8272A = i8272A/40/N6W
i82586 = i82586/48/N6W
i82501 = i82501/20/N
i2186 = i2186/28/N6W
i8288 = i8288/20/N

; Monolithic Memories
16R6 = MMI16R6/20/N
16L8 = MMI16L8/20/N

; Fairchild
F93422 = F93422/22/F4W

; InMos
IMS1420 = IMS1420/20/N

; Monsanto
MAN6710 = MAN6710/24/J6W

; Synertec
Syp2128 = SYP2128-4/24/N6W

; Standard Micro Systems
FDC9229 = FDC9229/20/N

; Analog Parts
LM385 = LM385/2/J
LM339 = LM339/14/J
Diode = Diode/2/J

; Resistors
15ohm = 15ohm/2/J
39ohm = 39ohm/2/J
120ohm = 120ohm/2/J
150ohm = 150ohm/2/J
220ohm = 220ohm/2/J
1Kohm = 1Kohm/2/J
1.00Kohm = 1.00Kohm/2/J
1.5Kohm = 1.5Kohm/2/J
2.67Kohm = 2.67Kohm/2/J
3.09Kohm = 3.09Kohm/2/J
3.48Kohm = 3.48Kohm/2/J
3.5Kohm = 3.5Kohm/2/J
7.50Kohm = 7.50Kohm/2/J
10Kohm = 10Kohm/2/J
s10Kohm = 10Kohm/4/J1W

; Capacitors
0.1uF = 0.1uF/2/J
1.0uF = 1.0uF/2/J
22uf = 22uf/2/J
s22uf = 22uf/4/J1W

; Odds and ends
BYP = BYP/2/J
LED = LED/2/J
OSC = OSC/14/N
PLAT16 = PLAT16/16/J
PLAT20 = PLAT20/20/J
SW4 = DIPSW4/8/J
SSIP = SSIP/8/J1W
8SIP = 8SIP/8/J1W
5SIP = 5SIP/5/J1W
4SIP = 4SIP/4/J1W
Spare14 = Spare14/14/N
Spare16 = Spare16/16/N
Spare20 = Spare20/20/N
DLY = PE9825/14/J
IC = PinGird/14/J1W
XTAL = Crystal/2/J
@


16R6
a,I0,2 >a,I1,3 >a,I2,4 >a,I3,5 >a,I4,6 >a,I5,7 >a,I6,8 >a,I7,9
a,IO0,19 >a,R1,18 >a,R2,17 >a,R3,16 >a,R4,15 >a,R5,14 >a,R6,13 >a,IO7,12
a,CK,1 >a,OE,11
@

16L8
a,I0,1 >a,I1,2 >a,I2,3 >a,I3,4 >a,I4,5 >a,I5,6 >a,I6,7 >a,I7,8 >a,I8,9 >a,I9,11
a,O0,19 >a,IO1,18 >a,IO2,17 >a,IO3,16 >a,IO4,15 >a,IO5,14 >a,IO6,13 >a,O7,12
@

LS2538
a,A,6 >a,B,7 >a,C,17
a,Y0,3 >a,Y1,2 >a,Y2,1 >a,Y3,19 >a,Y4,18 >a,Y5,8 >a,Y6,9 >a,Y7,11
a,E1,16 >a,E2,15 >a,E3,14 >a,E4,13
a,POL,12 >a,OE1,4 >a,OE2,5
@

25S10
a,I-3,1 >a,I-2,2 >a,I-1,3 >a,I0,4 >a,I1,5 >a,I2,6 >a,I3,7
a,Y0,15 >a,Y1,14 >a,Y2,12 >a,Y3,11 >a,S0,9 >a,S1,10 >a,OE,13
@

25S18
a,D0,1 >a,Q0,2 >a,T0,3
a,D1,4 >a,Q1,5 >a,T1,6
a,D2,12 >a,Q2,11 >a,T2,10
a,D3,15 >a,Q3,14 >a,T3,13
a,CK,9 >a,OE,7
@

27S07, 27S03
a,A0,1 >a,A1,15 >a,A2,14 >a,A3,13
a,D0,4 >a,D1,6 >a,D2,10 >a,D3,12
a,Q0,5 >a,Q1,7 >a,Q2,9 >a,Q3,11
a,WE,3 >a,CE,2
@

27S27
a,A0,19 >a,A1,20 >a,A2,21 >a,A3,1 >a,A4,2 >a,A5,3 >a,A6,4 >a,A7,5 >a,A8,6
a,R0,7 >a,R1,8 >a,R2,9 >a,R3,10 >a,R4,12 >a,R5,13 >a,R6,14 >a,R7,15
a,SE,17 >a,CK,16 >a,OE,18

b,+5,22 >b,GD,11
@

27S29
a,A0,1 >a,A1,2 >a,A2,3 >a,A3,4 >a,A4,5 >a,A5,16 >a,A6,17 >a,A7,18 >a,A8,19
a,Q0,6 >a,Q1,7 >a,Q2,8 >a,Q3,9 >a,Q4,11 >a,Q5,12 >a,Q6,13 >a,Q7,14
a,CE,15
@

2901C
a,R0,9 >a,R3,8 >a,Q0,21 >a,Q3,16
a,A3,1 >a,A2,2 >a,A1,3 >a,A0,4
a,B3,20 >a,B2,19 >a,B1,18 >a,B0,17
a,D3,22 >a,D2,23 >a,D1,24 >a,D0,25
a,Y3,39 >a,Y2,38 >a,Y1,37 >a,Y0,36
a,I8,6 >a,I7,7 >a,I6,5
a,I5,27 >a,I4,28 >a,I3,26
a,I2,14 >a,I1,13 >a,I0,12
a,G,32 >a,P,35
a,CI,29 >a,CO,33 >a,OV,34
a,F3,31 >a,F=0,11
a,CK,15 >a,OE,40
a,+5,10 >a,GD,30
@

2950A
a,A0,26 >a,A1,27 >a,A2,28 >a,A3,1 >a,A4,2 >a,A5,3 >a,A6,6 >a,A7,7
a,B0,18 >a,B1,17 >a,B2,16 >a,B3,15 >a,B4,14 >a,B5,13 >a,B6,10 >a,B7,9
a,CKA,20 >a,LDA,21 >a,OEA,19 >a,CLA,12 >a,FA,11
a,CKB,24 >a,LDB,23 >a,OEB,25 >a,CLB,4 >a,FB,5
a,+5,8 >a,GD,22
@

29116A
a,Y00,51 >a,Y01,50 >a,Y02,49 >a,Y03,48 >a,Y04,47 >a,Y05,46 >a,Y06,45
a,Y07,42 >a,Y08,39 >a,Y09,38 >a,Y10,37 >a,Y11,34 >a,Y12,33 >a,Y13,32
a,Y14,31 >a,Y15,30 >a,OEY,43 >a,DLE,36
a,I00,17 >a,I01,16 >a,I02,15 >a,I03,14 >a,I04,13 >a,I05,10 >a,I06,9
a,I07,8 >a,I08,7 >a,I09,6 >a,I10,5 >a,I11,4 >a,I12,3 >a,I13,2
a,I14,1 >a,I15,52 >a,IE,19 >a,SRE,20
a,T1,27 >a,T2,26 >a,T3,24 >a,T4,23 >a,OET,22 >a,CT,21
a,CK,18
a,+5a,12 >a,+5b,40 >a,+5c,41
a,GDa,11 >a,GDb,25 >a,GDc,35 >a,GDd,44
@

Z8030
a,AD0,40 >a,AD1,1 >a,AD2,39 >a,AD3,2 >a,AD4,38 >a,AD5,3 >a,AD6,37 >a,AD7,4
a,RDA,13 >a,TDA,15 >a,RCA,12 >a,TCA,14
a,CSA,18 >a,RSA,17 >a,DCA,19 >a,DTA,16 >a,SYA,11 >a,WA,10
a,RDB,27 >a,TDB,25 >a,RCB,28 >a,TCB,26
a,CSB,22 >a,RSB,23 >a,DCB,21 >a,DTB,24 >a,SYB,29 >a,WB,30
a,CS1,32 >a,CS0,33 >a,RW,34 >a,AS,35 >a,DS,36
a,INTA,8 >a,INT,5 >a,IEI,7 >a,IEO,6 >a,CK,20
a,+5,9 >a,GD,31
@

Z8068
a,M0,16 >a,M1,17 >a,M2,18 >a,M3,19 >a,M4,24 >a,M5,23 >a,M6,22 >a,M7,21
a,MCS,25 >a,MDS,26 >a,MRW,28 >a,MAS,27 >a,MF,15
a,S0,2 >a,S1,3 >a,S2,4 >a,S3,5 >a,S4,39 >a,S5,38 >a,S6,37 >a,S7,36
a,SCS,30 >a,SDS,29 >a,SF,31
a,A0,6 >a,A1,7 >a,A2,8 >a,A3,9 >a,A4,35 >a,A5,34 >a,A6,33 >a,A7,32
a,AS,11 >a,AF,10 >a,P,12
a,CK,14 >a,M,13 >a,VS,1
@

Am2960
a,D0,23 >a,D1,22 >a,D2,21 >a,D3,20 >a,D4,17 >a,D5,16 >a,D6,15 >a,D7,14
a,D8,12 >a,D9,11 >a,D10,10 >a,D11,9 >a,D12,5 >a,D13,4 >a,D14,3 >a,D15,2
a,LE,6 >a,OE0,18 >a,OE1,8 >a,CB0,40 >a,CB1,34 >a,CB2,35 >a,CB3,37
a,CB4,38 >a,CB5,39 >a,CB6,41 >a,LED,7 >a,CI0,43 >a,CI1,44 >a,CI2,45
a,DM0,46 >a,DM1,47 >a,PT,48 >a,Gen,42 >a,Corr,1 >a,OESC,31 >a,Err,32
a,MErr,33 >a,SB0,30 >a,SB1,24 >a,SB2,27 >a,SB3,28 >a,SB4,26 >a,SB5,29
a,SB6,25
b,+5,36 >b,GD,13
@

Am2961
b,Din,6 >b,Dout,3 >b,B,4 >b,Y,5
c,Din,7 >c,Dout,10 >c,B,9 >c,Y,8
d,Din,18 >d,Dout,15 >d,B,16 >d,Y,17
e,Din,19 >e,Dout,22 >e,B,21 >e,Y,20
f,S,1 >f,OEY,2 >f,OED,23 >f,LEY,11 >f,LEB,13 >f,OEB,14
@

Am2964B
a,A0,38 >a,A1,34 >a,A2,31 >a,A3,27 >a,A4,23 >a,A5,20 >a,A6,17 >a,A7,13
a,A8,35 >a,A9,32 >a,A10,28 >a,A11,24 >a,A12,21 >a,A13,18 >a,A14,14 >a,A15,11
a,LE,36 >a,Sel,16 >a,R0,4 >a,R1,5 >a,RASi,3 >a,CASi,7 >a,RFSH,25 >a,Clr,8
a,Q0,37 >a,Q1,33 >a,Q2,29 >a,Q3,26 >a,Q4,22 >a,Q5,19 >a,Q6,15 >a,Q7,12
a,RAS0,39 >a,RAS1,40 >a,RAS2,1 >a,RAS3,2 >a,CAS,6
b,+5,10 >b,GD,30
@

MOSRAM
a,A0,5 >a,A1,7 >a,A2,6 >a,A3,12 >a,A4,11 >a,A5,10 >a,A6,13 >a,A7,9
a,D,2 >a,Q,14 >a,CAS,15 >a,RAS,4 >a,W,3
b,A0,5 >b,A1,7 >b,A2,6 >b,A3,12 >b,A4,11 >b,A5,10 >b,A6,13 >b,A7,9 >b,CAS,15
b,RAS,4 >b,WE,3 >b,VSS,16 >b,VDD,8
c,D,2 >c,Q,14
d,CAS,15 >d,RAS,4 >d,W,3
@

S00, LS00, S08, LS08, S09, S32, LS32, N38, S38, S86, S136
a,IN,1,2 >a,OUT,3
b,IN,4,5 >b,OUT,6
c,IN,9,10 >c,OUT,8
d,IN,12,13 >d,OUT,11
@

S02, LS02
a,IN,2,3 >a,OUT,1
b,IN,5,6 >b,OUT,4
c,IN,8,9 >c,OUT,10
d,IN,11,12 >d,OUT,13
@

S04, N07, LS14
a,IN,1 >a,OUT,2
b,IN,3 >b,OUT,4
c,IN,5 >c,OUT,6
d,IN,9 >d,OUT,8
e,IN,11 >e,OUT,10
f,IN,13 >f,OUT,12
@

S10, S11, LS11
a,IN,1,2,13 >a,OUT,12
b,IN,3,4,5 >b,OUT,6
c,IN,9,10,11 >c,OUT,8
@

S20, S21, LS21
a,IN,1,2,4,5 >a,OUT,6
b,IN,9,10,12,13 >b,OUT,8
@

S64
a,a,2 >a,b,3 >a,c,10 >a,d,9 >a,e,4 >a,f,5 >a,g,6 >a,h,1 >a,i,12 >a,j,13
a,k,11 >a,OUT,8
@

S74
a,D,2 >a,CK,3 >a,C,1 >a,P,4 >a,Q,5 >a,Q',6
b,D,12 >b,CK,11 >b,C,13 >b,P,10 >b,Q,9 >b,Q',8
@

LS109
a,J,2 >a,K,3 >a,CK,4 >a,C,1 >a,P,5 >a,Q,6 >a,Q',7
b,J,14 >b,K,13 >b,CK,12 >b,C,15 >b,P,11 >b,Q,10 >b,Q',9
@

S112
a,J,3 >a,K,2 >a,CK,1 >a,C,15 >a,P,4 >a,Q,5 >a,Q',6
b,J,11 >b,K,12 >b,CK,13 >b,C,14 >b,P,10 >b,Q,9 >b,Q',7
@

S138, LS138
a,A,1 >a,B,2 >a,C,3
a,Y0,15 >a,Y1,14 >a,Y2,13 >a,Y3,12 >a,Y4,11 >a,Y5,10 >a,Y6,9 >a,Y7,7
a,G1,6 >a,G2,4 >a,G3,5
@

S139, LS139
b,E,1 >b,S0,3 >b,S1,2
b,Y0,4 >b,Y1,5 >b,Y2,6 >b,Y3,7

c,E,15 >c,S0,13 >c,S1,14
c,Y0,12 >c,Y1,11 >c,Y2,10 >c,Y3,9
@

S143
a,a,15 >a,b,16 >a,c,14 >a,d,9 >a,e,11 >a,f,10 >a,g,13
a,DP,7 >a,dp,8
a,RBI,4 >a,RBO,6 >a,BI,5 a,LSI,21
a,SCE,1 >a,PCE,23 >a,CK,2 >a,CL,3
a,QA,17 >a,QB,18 >a,QC,19 >a,QD,20 >a,MC,22
@

S151
a,D0,4 >a,D1,3 >a,D2,2 >a,D3,1 >a,D4,15 >a,D5,14 >a,D6,13 >a,D7,12
a,S0,9 >a,S1,10 >a,S2,11 >a,E,7 >a,Y,5 >a,W,6
@

S157, LS157, S158, S257
a,D0,2 >a,B0,3 >a,Q0,4
a,D1,5 >a,B1,6 >a,Q1,7
a,D2,11 >a,B2,10 >a,Q2,9
a,D3,14 >a,B3,13 >a,Q3,12
a,SB,1 >a,OE,15

b,D,2 >b,B,3 >b,Q,4
c,D,5 >c,B,6 >c,Q,7
d,D,11 >d,B,10 >d,Q,9
e,D,14 >e,B,13 >e,Q,12
f,SB,1 >f,OE,15
@

S162, S163
a,D1,3 >a,D2,4 >a,D4,5 >a,D8,6
a,Q1,14 >a,Q2,13 >a,Q4,12 >a,Q8,11
a,CK,2 >a,RC,15
a,CL,1 >a,LD,9
a,EP,7 >a,ET,10
@

S164
a,DA,1 >a,DB,2
a,QA,3 >a,QB,4 >a,QC,5 >a,QD,6 >a,QE,10 >a,QF,11 >a,QG,12 >a,QH,13
a,CL,9 >a,CK,8
@

S174, LS174
b,D,3 >b,Q,2
c,D,4 >c,Q,5
d,D,6 >d,Q,7
e,D,11 >e,Q,10
f,D,13 >f,Q,12
g,D,14 >g,Q,15
h,CK,9 >h,CL,1
@

S175, LS175
b,D,4 >b,Q,2 >b,Q',3
c,D,5 >c,Q,7 >c,Q',6
d,D,12 >d,Q,10 >d,Q',11
e,D,13 >e,Q,15 >e,Q',14
f,CK,9 >f,CL,1
@

S182
a,CIN,13
a,G3,5 >a,P3,6 >a,G,10 >a,P,7
a,G2,14 >a,P2,15 >a,CZ,9
a,G1,1 >a,P1,2 >a,CY,11
a,G0,3 >a,P0,4 >a,CX,12
@

S194
a,R,2 >a,A,3 >a,B,4 >a,C,5 >a,D,6 >a,L,7
a,QA,15 >a,QB,14 >a,QC,13 >a,QD,12
a,CL,1 >a,S0,9 >a,S1,10 >a,CK,11
@

S240, LS240, S241, S244, LS244, Am2966
a,D0,2 >a,Q0,18 >a,D1,4 >a,Q1,16 >a,D2,6 >a,Q2,14 >a,D3,8 >a,Q3,12
a,D4,11 >a,Q4,9 >a,D5,13 >a,Q5,7 >a,D6,15 >a,Q6,5 >a,D7,17 >a,Q7,3
a,E03,1 >a,E47,19

b,IN,2 >b,OUT,18
c,IN,4 >c,OUT,16
d,IN,6 >d,OUT,14
e,IN,8 >e,OUT,12
f,EN,1
g,IN,11 >g,OUT,9
h,IN,13 >h,OUT,7
i,IN,15 >i,OUT,5
j,IN,17 >j,OUT,3
k,EN,19

l,D0,2 >l,Q0,18
l,D1,4 >l,Q1,16
l,D2,6 >l,Q2,14
l,D3,8 >l,Q3,12
l,E,1

m,D0,11 >m,Q0,9
m,D1,13 >m,Q1,7
m,D2,15 >m,Q2,5
m,D3,17 >m,Q3,3
m,E,19
@

S245, LS245, S640, LS640
a,D0,2 >a,Q0,18 >a,D1,3 >a,Q1,17 >a,D2,4 >a,Q2,16 >a,D3,5 >a,Q3,15
a,D4,6 >a,Q4,14 >a,D5,7 >a,Q5,13 >a,D6,8 >a,Q6,12 >a,D7,9 >a,Q7,11
a,->,1 >a,OE,19
@

S253, LS352
a,X0,6 >a,X1,5 >a,X2,4 >a,X3,3 >a,EX,1 >a,QX,7
a,Y0,10 >a,Y1,11 >a,Y2,12 >a,Y3,13 >a,EY,15 >a,QY,9
a,S0,2 >a,S1,14

b,X0,6 >b,X1,5 >b,X2,4 >b,X3,3 >b,EX,1 >b,QX,7
c,Y0,10 >c,Y1,11 >c,Y2,12 >c,Y3,13 >c,EY,15 >c,QY,9
d,S0,2 >d,S1,14
@

S266, LS266
a,IN,1,2 >a,OUT,3
b,IN,5,6 >b,OUT,4
c,IN,8,9 >c,OUT,10
d,IN,12,13 >d,OUT,11
@

S273, LS273, ALS273
a,D0,3 >a,Q0,2 >a,D1,4 >a,Q1,5 >a,D2,7 >a,Q2,6 >a,D3,8 >a,Q3,9
a,D4,13 >a,Q4,12 >a,D5,14 >a,Q5,15 >a,D6,17 >a,Q6,16 >a,D7,18 >a,Q7,19
a,CK,11 >a,CL,1
@

N276
a,J,2 >a,K,4 >a,CK,3 >a,Q,5
a,J,9 >a,K,7 >a,CK,8 >a,Q,6
a,J,12 >a,K,14 >a,CK,13 >a,Q,15
a,J,19 >a,K,17 >a,CK,18 >a,Q,16
a,PR,11 >a,CL,1

b,J,2 >b,K,4 >b,CK,3 >b,Q,5
c,J,9 >c,K,7 >c,CK,8 >c,Q,6
d,J,12 >d,K,14 >d,CK,13 >d,Q,15
e,J,19 >e,K,17 >e,CK,18 >e,Q,16
f,PR,11 >f,CL,1
@

LS279
a,R1,1 >a,S1A,2 >a,S1B,3 >a,Q1,4
a,R2,5 >a,S2,6 >a,Q2,7
a,R3,10 >a,S3A,11 >a,S3B,12 >a,Q3,9
a,R4,14 >a,S4,15 >a,Q4,13

b,R,1 >b,S1,2 >b,S2,3 >b,Q,4
c,R,5 >c,S,6 >c,Q,7
d,R,10 >d,S1,11 >d,S2,12 >d,Q,9
e,R,14 >e,S,15 >e,Q,13

f,S,1 >f,R1,2 >f,R2,3 >f,Q,4
g,S,5 >g,R,6 >g,Q,7
h,S,10 >h,R1,11 >h,R2,12 >h,Q,9
i,S,14 >i,R,15 >i,Q,13
@

S280
a,IN,8,9,10,11,12,13,1,2,4
a,EVEN,5 >a,ODD,6
@

S373, LS373
a,D0,3 >a,Q0,2 >a,D1,4 >a,Q1,5 >a,D2,7 >a,Q2,6 >a,D3,8 >a,Q3,9
a,D4,13 >a,Q4,12 >a,D5,14 >a,Q5,15 >a,D6,17 >a,Q6,16 >a,D7,18 >a,Q7,19
a,CK,11 >a,OE,1

b,D,3 >b,Q,2
c,D,4 >c,Q,5
d,D,7 >d,Q,6
e,D,8 >e,Q,9
f,D,13 >f,Q,12
g,D,14 >g,Q,15
h,D,17 >h,Q,16
i,D,18 >i,Q,19
j,IE,11 >j,OE,1
k,SB,11 >k,OE,1
@

S374, LS374
a,D0,3 >a,Q0,2 >a,D1,4 >a,Q1,5 >a,D2,7 >a,Q2,6 >a,D3,8 >a,Q3,9
a,D4,13 >a,Q4,12 >a,D5,14 >a,Q5,15 >a,D6,17 >a,Q6,16 >a,D7,18 >a,Q7,19
a,CK,11 >a,OE,1

b,D,3 >b,Q,2
c,D,4 >c,Q,5
d,D,7 >d,Q,6
e,D,8 >e,Q,9
f,D,13 >f,Q,12
g,D,14 >g,Q,15
h,D,17 >h,Q,16
i,D,18 >i,Q,19
j,CK,11 >j,OE,1

k,D0,3 >k,Q0,2 >k,D1,4 >k,Q1,5 >k,D2,7 >k,Q2,6 >k,D3,8 >k,Q3,9

l,D0,13 >l,Q0,12 >l,D1,14 >l,Q1,15 >l,D2,17 >l,Q2,16 >l,D3,18 >l,Q3,19
@

N376
a,J,2 >a,K,3 >a,Q,4
a,J,7 >a,K,6 >a,Q,5
a,J,10 >a,K,11 >a,Q,12
a,J,15 >a,K,14 >a,Q,13
a,CK,9 >a,CL,1

b,J,2 >b,K,3 >b,Q,4
c,J,7 >c,K,6 >c,Q,5
d,J,10 >d,K,11 >d,Q,12
e,J,15 >e,K,14 >e,Q,13
f,CK,9 >f,CL,1
@

LS377
a,D0,3 >a,Q0,2 >a,D1,4 >a,Q1,5 >a,D2,7 >a,Q2,6 >a,D3,8 >a,Q3,9
a,D4,13 >a,Q4,12 >a,D5,14 >a,Q5,15 >a,D6,17 >a,Q6,16 >a,D7,18 >a,Q7,19
a,CK,11 >a,E,1
@

N393
a,Q1,11 >a,Q2,10 >a,Q4,9 >a,Q8,8 >a,Clk,13 >a,Clr,12
b,Q1,3 >b,Q2,4 >b,Q4,5 >b,Q8,6 >b,Clk,1 >b,Clr,2
@

S399, LS399, 25S09
a,D0,3 >a,B0,4 >a,Q0,2
a,D1,6 >a,B1,5 >a,Q1,7
a,D2,11 >a,B2,12 >a,Q2,10
a,D3,14 >a,B3,13 >a,Q3,15
a,SB,1 >a,CK,9
@

ALS569, LS2569
a,D1,3 >a,D2,4 >a,D4,5 >a,D8,6
a,Q1,16 >a,Q2,15 >a,Q4,14 >a,Q8,13
a,LD,11 >a,CK,2 >a,SC,9 >a,AC,8 >a,OE,17 >a,UP,1
a,EP,7 >a,ET,12
a,RCO,19 >a,CCO,18
@

ALS573, ALS580
a,D0,2 >a,D1,3 >a,D2,4 >a,D3,5 >a,D4,6 >a,D5,7 >a,D6,8 >a,D7,9
a,Q0,19 >a,Q1,18 >a,Q2,17 >a,Q3,16 >a,Q4,15 >a,Q5,14 >a,Q6,13 >a,Q7,12
a,IE,11 >a,OE,1

b,D,2 >b,Q,19
c,D,3 >c,Q,18
d,D,4 >d,Q,17
e,D,5 >e,Q,16
f,D,6 >f,Q,15
g,D,7 >g,Q,14
h,D,8 >h,Q,13
i,D,9 >i,Q,12
j,IE,11 >j,OE,1
@

ALS574, ALS576
a,D0,2 >a,D1,3 >a,D2,4 >a,D3,5 >a,D4,6 >a,D5,7 >a,D6,8 >a,D7,9
a,Q0,19 >a,Q1,18 >a,Q2,17 >a,Q3,16 >a,Q4,15 >a,Q5,14 >a,Q6,13 >a,Q7,12
a,CK,11 >a,OE,1

b,D,2 >b,Q,19
c,D,3 >c,Q,18
d,D,4 >d,Q,17
e,D,5 >e,Q,16
f,D,6 >f,Q,15
g,D,7 >g,Q,14
h,D,8 >h,Q,13
i,D,9 >i,Q,12
j,CK,11 >j,OE,1
@

LS682
a,A0,2 >a,A1,4 >a,A2,6 >a,A3,8 >a,A4,11 >a,A5,13 >a,A6,15 >a,A7,17
a,B0,3 >a,B1,5 >a,B2,7 >a,B3,9 >a,B4,12 >a,B5,14 >a,B6,16 >a,B7,18
a,A=B,19 >a,A>B,1
@

188A
a,IN,2 >a,OUT,3
b,IN,4,5 >b,OUT,6
c,IN,9,10 >c,OUT,8
d,IN,12,13 >d,OUT,11
e,V+,14 >e,V-,1 >e,GD,7
@

189A
a,IN,1 >a,c,2 >a,OUT,3
b,IN,4 >b,c,5 >b,OUT,6
c,IN,10 >c,c,9 >c,OUT,8
d,IN,13 >d,c,12 >d,OUT,11
@

F93422
a,D0,9 >a,Q0,10 >a,D1,11 >a,Q1,12 >a,D2,13 >a,Q2,14 >a,D3,15 >a,Q3,16
a,A0,4 >a,A1,3 >a,A2,2 >a,A3,1 >a,A4,21 >a,A5,5 >a,A6,6 >a,A7,7
a,WE,20 >a,E1,19 >a,E2,17 >a,OE,18
@

i2764
a,A0,10 >a,A1,9 >a,A2,8 >a,A3,7 >a,A4,6 >a,A5,5 >a,A6,4
a,A7,3 >a,A8,25 >a,A9,24 >a,A10,21 >a,A11,23 >a,A12,2
a,Q0,11 >a,Q1,12 >a,Q2,13 >a,Q3,15 >a,Q4,16 >a,Q5,17 >a,Q6,18 >a,Q7,19
a,CE,20 >a,VP,1 >a,OE,22 >a,PG,27 >a,VC,26
@

i2816
a,A0,8 >a,A1,7 >a,A2,6 >a,A3,5 >a,A4,4
a,A5,3 >a,A6,2 >a,A7,1 >a,A8,23 >a,A9,22 >a,A10,19
a,Q0,9 >a,Q1,10 >a,Q2,11 >a,Q3,13 >a,Q4,14 >a,Q5,15 >a,Q6,16 >a,Q7,17
a,CE,18 >a,VP,21 >a,OE,20
@

i3632
a,A0,19 >a,A1,21 >a,A2,22 >a,A3,23 >a,A4,1 >a,A5,2
a,A6,3 >a,A7,4 >a,A8,5 >a,A9,6 >a,A10,7 >a,A11,8
a,Q0,17 >a,Q1,16 >a,Q2,15 >a,Q3,14 >a,Q4,13 >a,Q5,11 >a,Q6,10 >a,Q7,9
a,E1,20 >a,E2,18
@

i8274
a,CDA,3 >a,CTSA,39 >a,RTSA,38 >a,DTRA,31 >a,SynA,33 >a,TxCA,36 >a,TxDA,37
a,RxDA,34 >a,RxCA,35 >a,CDB,5 >a,CTSB,6 >a,RTSB,10 >a,DTRB,26 >a,TxCB,7
a,TxDB,8 >a,RxDB,9 >a,RxCB,4 >a,DB7,12 >a,DB6,13 >a,DB5,14 >a,DB4,15
a,DB3,16 >a,DB2,17 >a,DB1,18 >a,DB0,19 >a,A1,24 >a,A0,25 >a,CS,23 >a,RD,22
a,WR,21 >a,IntA,27 >a,Int,28 >a,Rst,2 >a,CLK,1
@

i8272A
a,WrClk,21 >a,WrD,30 >a,WrEn,25 >a,PC1,31 >a,PC0,32
a,RdD,23 >a,DW,22 >a,VCO,24
a,Rdy,35 >a,WP,34 >a,Trk0,33 >a,DS1,28 >a,DS0,29 >a,Stp,37
a,Indx,17 >a,R/S,39 >a,HL,36 >a,HS,27 >a,Dir,38 >a,Dens,26
a,TC,16 >a,DB7,13 >a,DB6,12 >a,DB5,11 >a,DB4,10 >a,DB3,9 >a,DB2,8 >a,DB1,7
a,DB0,6 >a,A0,5 >a,CS,4 >a,RD,2 >a,WR,3 >a,Int,18 >a,DAck,15 >a,DRQ,14
a,Rst,1 >a,CLK,19
@

i8288
a,S2,18 >a,S1,3 >a,S0,19 >a,MRDC,7 >a,MWTC,9 >a,AMWC,8 >a,IORC,13
a,IOWC,11 >a,AIOWC,12 >a,IntA,14 >a,AEN,6 >a,CEN,15 >a,IOB,1 >a,CLK,2
a,Dir,4 >a,DEn,16 >a,Ale,5 >a,MCE,17
@

i82586
a,CDT,30 >a,CRS,31 >a,RxD,25 >a,RxC,23
a,TxC,26 >a,TxD,27 >a,CTS,29 >a,RTS,28
a,A23,45 >a,A22,46 >a,A21,47 >a,A20,1 >a,A19,2 >a,A18,3 >a,A17,4 >a,A16,5
a,AD15,6 >a,AD14,7 >a,AD13,8 >a,AD12,9 >a,AD11,10 >a,AD10,11 >a,AD9,13
a,AD8,14 >a,AD7,15 >a,AD6,16 >a,AD5,17 >a,AD4,18 >a,AD3,19 >a,AD2,20
a,AD1,21 >a,AD0,22 >a,BHE,44 >a,S1,41 >a,S0,40 >a,HldA,42 >a,Hld,43
a,CA,35 >a,Int,38 >a,Rdy,39 >a,Ardy,37 >a,MN,33 >a,Rst,34 >a,CLK,32
b,+5,36 >b,GD,12
@

i82501
a,CLSN,11 >a,CLSN',12 >a,RCV,4 >a,RCV',5 >a,TxD,17 >a,TEN,15
a,CDT,7 >a,CRS,6 >a,RxD,9 >a,RxC,8 >a,TRMT,19 >a,TRMT',18 >a,TxC,16
a,LPB,3 >a,X1,14 >a,X2,13 >a,C1,1 >a,C2,2
@

i2186
a,A12,2 >a,A11,23 >a,A10,21 >a,A9,24 >a,A8,25 >a,A7,3 >a,A6,4 >a,A5,5
a,A4,6 >a,A3,7 >a,A2,8 >a,A1,9 >a,A0,10
a,Q7,19 >a,Q6,18 >a,Q5,17 >a,Q4,16 >a,Q3,15 >a,Q2,13 >a,Q1,12 >a,Q0,11
a,OE,22 >a,CE,20 >a,WE,27 >a,Rdy,1
@

IMS1420
a,A0,16 >a,A1,17 >a,A2,18 >a,A3,19 >a,A4,1 >a,A5,2
a,A6,3 >a,A7,4 >a,A8,5 >a,A9,6 >a,A10,7 >a,A11,8
a,D0,15 >a,D1,14 >a,D2,13 >a,D3,12
a,WE,11 >a,CE,9
@

MAN6710
b,a,16 >b,b,15 >b,c,3 >b,d,2 >b,e,1 >b,f,18 >b,g,17 >b,dp,4 >b,V,14
c,a,11 >c,b,10 >c,c,8 >c,d,6 >c,e,5 >c,f,12 >c,g,7 >c,dp,9 >c,V,13
@

Syp2128
a,A10,19 >a,A9,22 >a,A8,23 >a,A7,1 >a,A6,2 >a,A5,3 >a,A4,4 >a,A3,5 >a,A2,6
a,A1,7 >a,A0,8 >a,Q7,17 >a,Q6,16 >a,Q5,15 >a,Q4,14 >a,Q3,13 >a,Q2,11 >a,Q1,10
a,Q0,9 >a,OE,20 >a,CE,18 >a,WE,21
@

FDC9229
a,ClkIn,11 >a,FDC,2 >a,Dens,4 >a,Mini,3 >a,ClkA,9 >a,ClkB,8
a,WDin,12 >a,PCerly,13 >a,PClate,14 >a,P2,19 >a,P1,18 >a,P0,17
a,RDin,1 >a,RD,6 >a,RClk,5 >a,WD,7
@

IC
a,P1,1 >a,P2,2 >a,P3,3 >a,P4,4 >a,P5,5 >a,P6,6 >a,P7,7
a,P8,8 >a,P9,9 >a,P10,10 >a,P11,11 >a,P12,12 >a,P13,13 >a,P14,14
@

OSC
a,RF,8
b,RFa,4 >b,RFb,8
@

8SIP, SSIP
a,C,1 >a,IN,2,3,4,5,6,7,8
b,IN,1 >c,IN,2 >d,IN,3 >e,IN,4 >f,IN,5 >g,IN,6 >h,IN,7 >i,IN,8
@

5SIP
a,IN,1 >a,OUT,5
@

4SIP
a,IN,1 >a,OUT,4
@

PLAT16
a,P1,1 >a,P2,2 >a,P3,3 >a,P4,4 >a,P5,5 >a,P6,6 >a,P7,7 >a,P8,8 >a,P9,9
a,P10,10 >a,P11,11 >a,P12,12 >a,P13,13 >a,P14,14 >a,P15,15 >a,P16,16

b,IN,1 >b,OUT,16
c,IN,2 >c,OUT,15
d,IN,3 >d,OUT,14
e,IN,4 >e,OUT,13
f,IN,5 >f,OUT,12
g,IN,6 >g,OUT,11
h,IN,7 >h,OUT,10
i,IN,8 >i,OUT,9

j,IN,1,2,3,4,5,6,7,8
j,OUT,9,10,11,12,13,14,15,16
@

PLAT20
a,P1,1 >a,P2,2 >a,P3,3 >a,P4,4 >a,P5,5 >a,P6,6 >a,P7,7 >a,P8,8 >a,P9,9
a,P10,10 >a,P11,11 >a,P12,12 >a,P13,13 >a,P14,14 >a,P15,15 >a,P16,16
a,P17,17 >a,P18,18 >a,P19,19 >a,P20,20

b,IN,1 >b,OUT,20
c,IN,2 >c,OUT,19
d,IN,3 >d,OUT,18
e,IN,4 >e,OUT,17
f,IN,5 >f,OUT,16
g,IN,6 >g,OUT,15
h,IN,7 >h,OUT,14
i,IN,8 >i,OUT,13
j,IN,9 >j,OUT,12
k,IN,10 >k,OUT,11

l,IN,1,2,3,4,5,6,7,8,9,10
l,OUT,11,12,13,14,15,16,17,18,19,20
@

Spare20
a,+5,20 >a,GD,10
@

Spare16
a,+5,16 >a,GD,8
@

Spare14
a,+5,14 >a,GD,7
@

SW4
a,IN,1,2,3,4
a,OUT,8,7,6,5
@

BYP
a,IN,2 >a,OUT,1
@

15ohm, 39ohm, 120ohm, 150ohm, 220ohm, 1Kohm, 1.00Kohm, 1.5Kohm, 1.00Kohm, 2.67Kohm, 3.09Kohm, 3.48Kohm
a,IN,1 >a,OUT,2
b,',1 >b,.,2
c,+,1 >c,.,2
d,-,1 >d,.,2
@

3.5Kohm, 7.50Kohm, 10Kohm, 0.1uF, 1.0uF, 22uf, LED, Diode, LM385, XTAL
a,IN,1 >a,OUT,2
b,',1 >b,.,2
c,+,1 >c,.,2
d,-,1 >d,.,2
@

LM339
a,+,5 >a,-,4 >a,OUT,2
b,+,7 >b,-,6 >b,OUT,1
c,+,9 >c,-,8 >c,OUT,14
d,+,11 >d,-,10 >d,OUT,13
e,+5,3 >e,GD,12
@

s10Kohm, s22uf
c,a,1 >c,b,4
d,a,1 >d,b,4
@

DLY
a,IN,2 >a,a,3 >a,b,4 >a,c,5 >a,d,6 >a,e,7 >a,f,9 >a,g,10 >a,h,11 >a,i,12
a,OUT,13
b,G1,1 >b,G2,14
@